Friedrich-Alexander-Universität UnivisDeutsch FAU-Logo
Techn. Fakultät Willkommen am Department Informatik FAU-Logo
Logo IMMD
Department of Computer Science 4
Bibliography
General
Energy Accounting
Energy Analysis
Architecture
Freq./Voltage Scaling
Thermal
Memory
Storage
Wireless
Server/Cluster
Real-Time
Code Transformation
Display
Dept. of Computer Science  >  CS 4  >  Research  >  PowerManagement  >  Bibliography

Bibliography on Power Management

This page is also available in .pdf Format

[ABD+97] J. Anderson, L. Berc, J. Dean, S. Ghemawat, M. Henzinger, S.-T. Leung, R. Sites, M. Vandervoorde, C. Waldspurger, and W. Weihl. Continuous profiling: Where have all the cycles gone? ACM Transactions on Computer Systems, 15(4), November 1997. doi: 10.1145/265924.265925
[ bib | doi ]

[ABD+03] David H. Albonesi, Rajeev Balasubramonian, Steven G. Dropsho, Sandhya Dwarkadas, Eby G. Friedman, Michael C. Huang, Volkan Kursun, Grigorios Magklis, Michael L. Scott, Greg Semeraro, Pradip Bose, Alper Buyuktosunoglu, Peter W. Cook, and Stanley E. Schuster. Dynamically tuning processor resources with adaptive processing. IEEE Computer, 36(12):49–58, December 2003. doi: 10.1109/MC.2003.1250883
[ bib | doi ]

[ABR01a] A. Acquaviva, L. Benini, and B. Riccó. An adaptive algorithm for low-power streaming multimedia processing. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'01), 2001. doi: 10.1109/DATE.2001.915037
[ bib | doi | .pdf ]

[ABR01b] Andrea Acquaviva, Luca Benini, and Bruno Riccó. Energy characterization of embedded real-time operating systems. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'01), September 2001.
[ bib | .pdf ]

[ABS+01] Bulent Abali, Mohammad Banikazemi, Xiaowei Shen, Hubertus Franke, Dan E. Poff, and T. Basil Smith. Hardware compressed main memory: Operating system support and performance evaluation. IEEE Transactions on Computers, 50(11), November 2001.
[ bib | .html ]

[ACM04] Raksit Ashok, Saurabh Chheda, and Csaba Andras Moritz. Coupling compiler-enabled and conventional memory accessing for energy efficiency. ACM Transactions on Computer Systems, 22(2):180–213, May 2004. doi: 10.1145/986533.986535
[ bib | doi ]

[ACMM05] Nevine AbouGhazaleh, Bruce Childers, Daniel Mosse, and Rami Melhem. Near-memory caching for improved energy consumption. In Proceedings of the 2005 International Conference on Computer Design (ICCD'05), October 2005. doi: 10.1109/ICCD.2005.79
[ bib | doi ]

[ADZ00] Mohit Aron, Peter Druschel, and Willy Zwaenepoel. Cluster reserves: a mechanism for resource management in cluster-based network servers. In Proceedings of the International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'00), pages 90–101, 2000. doi: 10.1145/339331.339383
[ bib | doi ]

[AFS+01] Bulent Abali, Hubertus Franke, Xiaowei Shen, Dan E. Poff, and T. Basil Smith. Performance of hardware compressed main memory. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), January 2001. doi: 10.1109/HPCA.2001.903253
[ bib | doi ]

[AG01] Mansoor Alicherry and K. Gopinath. Predictable management of system resources for Linux. In Proceedings of the 2001 USENIX Annual Technical Conference, FREENIX Track, June 2001.
[ bib | .pdf ]

[AGS05] Murali Annavaram, Ed Grochowski, and John Shen. Mitigating Amdahl's law through EPI throttling. SIGARCH Comput. Archit. News, 33(2):298–309, 2005. doi: 10.1145/1080695.1069995
[ bib | doi | .pdf ]

[AGS+02] Ning An, Sudhanva Gurumurthi, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Mahmut Kandemir, and Mary Jane Irwin. Energy-performance trade-offs for spatial access methods on memory-resident data. International Journal on Very Large Databases, 2002. doi: 10.1007/s00778-002-0073-x
[ bib | doi ]

[Alb99] David H. Albonesi. An architectural and circuit-level approach to improving the energy efficiency of microprocessor memory structures. In Proceedings of the 10th International Conference on VLSI, December 1999.
[ bib | .ps ]

[AMC+03] Nevine AbouGhazaleh, Daniel Mosse, Bruce Childers, Rami Melhem, and Matthew Craven. Collaborative operating system and compiler power management for real-time applications. In Proceedings of the Ninth Real-Time and Embedded Technology and Applications Symposium (RTAS'03), May 2003. doi: 10.1109/RTTAS.2003.1203045
[ bib | doi ]

[AMCM01] Nevine AbouGhazaleh, Daniel Mosse, Bruce Childers, and Rami Melhem. Toward the placement of power management points in real time applications. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'01), September 2001.
[ bib | .pdf ]

[AMD97] AMD. ElanSC400 and ElanSC410 Microcontroller User's Manual, 1997.
[ bib | .pdf ]

[AN03] T. Givargis A. Nacul. Adaptive cache management for low power embedded systems. Korea Multimedia Society, Key Technology of Next Generation IT, pages 30–39, December 2003.
[ bib | http ]

[ANF03] Manish Anand, Edmumd B. Nightingale, and Jason Flinn. Self-tuning wireless network power management. In Proceedings of the Ninth Annual International Conference on Mobile Computing and Networking (MOBICOM'03), September 2003.
[ bib | .pdf ]

[ANF04] Manish Anand, Edmund B. Nightingale, and Jason Flinn. Ghosts in the machine: Interfaces for better power management. In Proceedings of the Second International Conference on Mobile Systems, Applications, and Services (MOBISYS'04), June 2004. doi: 10.1145/990064.990070
[ bib | doi | .pdf ]

[ASE+04] Alexandru Andrei, Marcus Schmitz, Petru Eles, Zebo Peng, and Bashir M. Al-Hashimi. Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'04), page 10518, Washington, DC, USA, 2004. IEEE Computer Society.
[ bib ]

[BA03] Kenneth Barr and Krste Asanovic. Energy aware lossless data compression. In Proceedings of the First International Conference on Mobile Systems, Applications, and Services (MOBISYS'03), May 2003.
[ bib | .html ]

[Bar02] Kenneth C. Barr. Energy aware lossless data compression. Master's thesis, Massachusetts Institute of Technology, September 2002.
[ bib | .pdf ]

[BB95] T. D. Burd and R. W. Brodersen. Energy efficient CMOS microprocessor design. In Proceedings of the 28th Hawaii International Conference on System Sciences (HICSS'95), page 288, Washington, DC, USA, 1995. IEEE Computer Society.
[ bib ]

[BBCR98] L. Benini, A. Bogliolo, S. Cavallucci, and Bruno Riccó. Monitoring system activity of OS-directed dynamic power managament. In Proceedings of the 1998 International Symposium on Low-Power Electronics and Design (ISLPED'98), 1998.
[ bib | .pdf ]

[BBDM98] L. Benini, A. Bogliolo, and G. De Micheli. Dynamic power management of electronic systems. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'98), 1998.
[ bib | .pdf ]

[BBMM02] L. Benini, D. Bruni, A. Macii, and E. Macii. Hardware-assisted data compression for energy minimization in systems with embedded processors. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'02), 2002.
[ bib | .pdf ]

[BBR02] Davide Bruni, Luca Benini, and Bruno Riccó. System lifetime extension by battery management: an experimental work. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'02), October 2002. doi: 10.1145/581630.581667
[ bib | doi ]

[BC06] Michela Becchi and Patrick Crowley. Dynamic thread assignment on heterogeneous multiprocessor architectures. In Proceedings of the Third Conference on Computing frontiers (CF'06), pages 29–40, New York, NY, USA, 2006. ACM Press. doi: 10.1145/1128022.1128029
[ bib | doi ]

[BCF+01] Kathleen Baynes, Chris Collins, Eric Fiterman, Brinda Ganesh, Paul Kohout, Christine Smit, Tiebing Zhang, and Bruce Jacob. The performance and energy consumption of three embedded real-time operating systems. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'01), pages 203–210, November 2001.
[ bib | .pdf ]

[BCF+03] K. Baynes, C. Collins, E. Fiterman, B. Ganesh, P. Kohout, C. Smit, T. Zhang, and B. Jacob. The performance and energy consumption of embedded real-time operating systems. IEEE Transactions on Computers, 52(11):1454–1469, November 2003. doi: 10.1109/TC.2003.1244943
[ bib | doi ]

[BCM+00] L. Benini, G. Castelli, A. Macii, E. Macii, M. Poncino, and R. Scarsi. A discrete-time battery model for high-level power estimation. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'00), pages 35–41, New York, NY, USA, 2000. ACM Press. doi: 10.1145/343647.343694
[ bib | doi ]

[BCMS01] Luca Benini, Giuliano Castelli, Alberto Macii, and Riccardo Scarsi. Battery-driven dynamic power management. IEEE Design & Test of Computers special issue on Dynamic Power Management of Electronic Systems, pages 53–60, March/April 2001. doi: 10.1109/54.914621
[ bib | doi ]

[BCT+10] Andrea Bartolini, Matteo Cacciari, Andrea Tilli, Luca Benini, and Matthias Gries. A virtual platform environment for exploring power, thermal and reliability management control strategies in high-performance multicores. In Proceedings of the Twentieth Great Lakes Symposium on VLSI (GLSVLSI10), pages 311–316, New York, NY, USA, 2010. ACM. doi: 10.1145/1785481.1785553
[ bib | doi ]

[BDGaPM00] S. Browne, J. Dongarra, N. Garner, and K. London an P. Mucci. A scalable cross-platform infrastructure for application performance tuning using hardware counters. In Proceedings of the Conference on Supercomputing SC'2000, November 2000.
[ bib | .pdf ]

[BDK+05] Shekkar Y. Borkar, Pradeep Dubey, David J. Kuck, Hans Mulder, Stephen S. Pawlowski, and Justin R. Rattner. Platform 2015: Intel processor and platform evolution for the next decade. White Paper, Intel Corporation, 2005.
[ bib ]

[BDM99a] Gaurav Banga, Peter Druschel, and Jeffrey Mogul. Resource containers: A new facility for resource management in server systems. In Proceedings of the Third Symposium on Operating System Design and Implementation (OSDI'99), February 1999.
[ bib | .ps.gz ]

[BDM99b] L. Benini and G. De Micheli. System-level power optimization: techniques and tools. In Proceedings of the 1999 International Symposium on Low-Power Electronics and Design (ISLPED'99), August 1999.
[ bib | .pdf ]

[BEK+02] Pat Bohrer, Mootaz Elnozahy, Tom Keller, Mike Kistler, Charles Lefurgy, Chandler McDowell, and Ramakrishnan Rajamony. The case for power management in web servers. In Robert Graybill and Rami Melhem, editors, Power Aware Computing. Kluwer Academic Publishers, 2002.
[ bib | .pdf ]

[Bel97a] F. Bellosa. Follow-on scheduling: Using TLB information to reduce cache misses. In Proceedings of the 16th Symposium on Operating Systems Principles SOSP'97, Work in Progress Session, October 1997.
[ bib | .pdf ]

[Bel97b] F. Bellosa. Process cruise control: Throttling memory access in a soft real-time environement. Technical Report TR-I4-97-2, University of Erlangen, Department of Computer Science, July 1997.
[ bib | .pdf ]

[Bel98] F. Bellosa. Three Dimensions of Scheduling. PhD thesis, University of Erlangen, Department of Computer Science, November 1998.
[ bib | .pdf ]

[Bel00] Frank Bellosa. The benefits of event-driven energy accounting in power-sensitive systems. In Proceedings of the Ninth ACM SIGOPS European Workshop 2000, September 2000.
[ bib | .pdf ]

[Bel01a] Christian Belady. Cooling and power consideration for semiconductors into the next century. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001. doi: 10.1145/383082.383104
[ bib | doi ]

[Bel01b] F. Bellosa. The case for event-driven energy accounting. Technical Report TR-I4-01-07, University of Erlangen, Department of Computer Science, June 2001.
[ bib | .pdf ]

[Bel04] Frank Bellosa. When physical is not real enough. In Proceedings of the Eleventh ACM SIGOPS European Workshop 2004, September 2004.
[ bib | .pdf ]

[BG03] John S. Bucy and G. R. Ganger. The DiskSim simulation environment version 3.0 reference manual. Technical Report CMU-CS-03-102, Carnegie Mellon University, School of Computer Science, January 2003.
[ bib | .pdf ]

[BHB+08] Reinaldo Bergamaschi, Guoling Han, Alper Buyuktosunoglu, Hiren Patel, Indira Nair, Gero Dittmann, Geert Janssen, Nagu Dhanwada, Zhigang Hu, Pradip Bose, and John Darringer. Exploring power management in multi-core systems. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'08), pages 708–713, Los Alamitos, CA, USA, 2008. IEEE Computer Society Press.
[ bib ]

[BHH03] D. J. Bradley, R. E. Harper, and S. W. Hunter. Workload-based power management for parallel computer systems. IBM Journal of Research and Development, 47(5):703–718, 2003. doi: 10.1147/rd.475.0703
[ bib | doi ]

[BJ05] Robert H. Bell and Lizy K. John. Efficient power analysis using synthetic testcases. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC'05), pages 110–118, October 2005. doi: 10.1109/IISWC.2005.1526007
[ bib | doi ]

[BKWW03] Frank Bellosa, Simon Kellner, Martin Waitz, and Andreas Weißel. Event-driven energy accounting for dynamic thermal management. Technical Report TR-I4-03-02, University of Erlangen, Department of Computer Science, July 2003.
[ bib | .pdf ]

[BLS01] J.-D. Bakker, K. Langendoen, and H. Sips. LART: flexible, low-power building blocks for wearable computers. In Int. Workshop on Smart Appliances and Wearable Computing IWSAWC'2001, April 2001.
[ bib | .pdf ]

[BM01] David Brooks and Margaret Martonosi. Dynamic thermal management for high-performance microprocessors. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), January 2001.
[ bib | .pdf ]

[BMMP99] L. Benini, A. Macii, E. Macii, and M. Poncino. Selective instruction compression for memory energy reduction in embedded systems. In Proceedings of the 1999 International Symposium on Low-Power Electronics and Design (ISLPED'99), August 1999.
[ bib | .pdf ]

[BMMP02] Luca Benini, Alberto Macii, Enrico Macii, and Massimo Poncino. Discharge current steering for battery lifetime optimization. In Proceedings of the 2002 International Symposium on Low-Power Electronics and Design (ISLPED'02), August 2002. doi: 10.1145/566408.566441
[ bib | doi ]

[BMN01] Luca Benini, Alberto Macii, and Alberto Nannarelli. Cached-code compression for energy minimization in embedded processors. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001. doi: 10.1145/383082.383177
[ bib | doi ]

[BMP03] Luca Benini, Alberto Macii, and Massimo Poncino. Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques. ACM Transactions on Embedded Computing Systems (TECS), 2(1), February 2003. doi: 10.1145/605459.605461
[ bib | doi ]

[BPA08] Mohammad Banikazemi, Dan Poff, and Bulent Abali. PAM: a novel performance/power aware meta-scheduler for multi-core systems. In Proceedings of the ACM/IEEE Conference on Supercomputing (SC'08), pages 1–12, Piscataway, NJ, USA, 2008. IEEE Press. doi: 10.1145/1413370.1413410
[ bib | doi ]

[BR00] Michael A. Bender and Michael O. Rabin. Scheduling cilk multithreaded parallel programs on processors of different speeds. In Proceedings of the ACM Symposium on Parallel Algorithms and Architectures, pages 13–21, 2000.
[ bib | .ps ]

[BR01] S. Balakrishnan and J. Ramanan. Power-aware operating systems using ACPI, CS 736 project. Technical report, University of Wisconsin, Computer Science Department, 2001.
[ bib | .pdf ]

[BR03] B. Brock and K. Rajamani. Dynamic power management for embedded systems. In Proceedings of the IEEE Int'l SOC Conference (SOCC 2003), September 2003.
[ bib | .pdf ]

[BR04] Ricardo Bianchini and Ram Rajamony. Power and energy management for server systems. IEEE Computer, 37(11):68–74, November 2004. doi: 10.1109/MC.2004.217
[ bib | doi ]

[BRBR03] Davide Bertozzi, Anand Raghunathan, Luca Benini, and Srivaths Ravi. Transport protocol optimization for energy efficient wireless embedded systems. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'03), March 2003. doi: 10.1109/DATE.2003.10152
[ bib | doi ]

[BRUL05] Saisanthosh Balakrishnan, Ravi Rajwar, Mike Upton, and Konrad Lai. The impact of performance asymmetry in emerging multicore architectures. In Proceedings of the 32nd International Symposium on Computer Architecture (ISCA'05), June 2005. doi: 10.1109/ISCA.2005.51
[ bib | doi ]

[BS96] F. Bellosa and M. Steckermeier. The performance implications of locality information usage in shared-memory multiprocessors. Journal of Parallel and Distributed Computing, 37(1):1–2, August 1996.
[ bib | .html ]

[BS02] Douglas M. Blough and Paolo Santi. Investigating upper bounds on network lifetime extension for cell-based energy conservation techniques in stationary ad hoc networks. In Proceedings of the Eighth Annual International Conference on Mobile Computing and Networking (MOBICOM'02), September 2002.
[ bib | .pdf ]

[BSS+02] Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, and Roberto Zafalon. Energy estimation and optimization of embedded VLIW processors based on instruction clustering. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1145/513918.514137
[ bib | doi ]

[BTM00] David Brooks, Vivek Tiwari, and Margaret Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th International Symposium on Computer Architecture (ISCA'00), June 2000.
[ bib | .pdf ]

[BVLJ05] W. L. Bircher, M. Valluri, J. Law, and L. K. John. Runtime identification of microprocessor energy saving opportunities. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), pages 275–280, New York, NY, USA, August 2005. ACM Press. doi: 10.1145/1077603.1077668
[ bib | doi ]

[BWA04] Lawrence S. Brakmo, Deborah A. Wallach, and Marc A.Viredaz. uSleep: A technique for reducing energy consumption in handheld devices. In Proceedings of the Second International Conference on Mobile Systems, Applications, and Services (MOBISYS'04), June 2004.
[ bib | .pdf ]

[BWWK03] Frank Bellosa, Andreas Weißel, Martin Waitz, and Simon Kellner. Event-driven energy accounting for dynamic thermal management. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03), September 2003.
[ bib | .pdf ]

[CAE+03] 3Com, Agere, Ericcson, IBM, Microsoft, Motorola, Nokia, and Toshiba. Bluetooth V1.1 Core Specifications, 2003.
[ bib | http ]

[CATV01] Jeff Chase, Darrell Anderson, Prachi Thakur, and Amin Vahdat. Managing energy and server resources in hosting centers. In Proceedings of the Eighteenth Symposium on Operating System Principles (SOSP'01), October 2001.
[ bib | .pdf ]

[CBC00] Toni Cortes, Yolanda Becerra, and Raúl Cervera. Swap compression: resurrecting old ideas. Software - Practice and Experience, 30(5):567–587, 2000. doi: 10.1002/(SICI)1097-024X(20000425)30:5<567::AID-SPE312>3.0.CO;2-Z
[ bib | doi | http ]

[CBL+07] John M. Calandrino, Dan Baumberger, Tong Li, Scott Hahn, and James H. Anderson. Soft real-time scheduling on performance asymmetric multicore platforms. In Proceedings of the Thirteenth Real-Time and Embedded Technology and Applications Symposium (RTAS'07), pages 101–112, Washington, DC, USA, 2007. IEEE Computer Society. doi: 10.1109/RTAS.2007.35
[ bib | doi | .ps ]

[CBM99] Eui-Young Chung, Luca Benini, and Giovanni De Micheli. Dynamic power management using adaptive learning tree. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'99), pages 274–279, 1999. doi: 10.1109/ICCAD.1999.810661
[ bib | doi ]

[CC04] Youngjin Cho and Naehyuck Chang. Memory-aware energy-optimal frequency assignment for dynamic supply voltage scaling. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 387–392, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013327
[ bib | doi ]

[CCB99] R. Cervera, T. Cortes, and Y. Becerra. Improving application performance through swap compression. In USENIX9 (Freenix track), 1999.
[ bib | .pdf ]

[CCF+07] J. Choi, C-Y. Cher, H. Franke, H. Hamann, A. Weger, and P. Bose. Thermal-aware task scheduling at the system software level. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07), August 2007.
[ bib | http ]

[CD01] Jeff Chase and Ron Doyle. Balance of power: Energy management for server clusters. In Proceedings of the Eighth Workshop on Hot Topics in Operating Systems (HotOS'01), May 2001.
[ bib | .pdf ]

[CG02] Dennis Colarelli and Dirk Grunwald. Massive arrays of idle disks for storage archives. In Proceedings of the 2002 ACM/IEEE conference on Supercomputing, July 2002.
[ bib | http ]

[CGM+07] P. Chaparro, J. Gonzalez, G. Magklis, Cai Qiong, and A. Gonzalez. Understanding the thermal implications of multi-core architectures. Parallel and Distributed Systems, IEEE Transactions on, 18(8):1055–1065, August 2007. doi: 10.1109/TPDS.2007.1092
[ bib | doi ]

[CH10] Aaron Carroll and Gernot Heiser. An analysis of power consumption in a smartphone. In Proceedings of the 2010 USENIX Annual Technical Conference, June 2010.
[ bib ]

[Cha02] Surendar Chandra. Wireless network interface energy consumption implications of popular streaming formats. In Martin Kienzle and Prashant Shenoy, editors, Multimedia Computing and Networking (MMCN'02), volume 4673, pages 85–99, San Jose, CA, January 2002. SPIE - The International Society of Optical Engineering. doi: 10.1007/s00530-003-0089-0
[ bib | doi ]

[CHE02] Mario Cagalj, Jean-Piere Hubaux, and Christian Enz. Minimum-energy broadcast in all-wireless networks: NP-completeness and distribution issues. In Proceedings of the Eighth Annual International Conference on Mobile Computing and Networking (MOBICOM'02), September 2002.
[ bib | .pdf ]

[CJ08] Jian Chen and Lizy K. John. Energy-aware application scheduling on a heterogeneous multi-core system. In Proceedings of the IEEE International Symposium on Workload Characterization (IISWC'08), 2008.
[ bib | .pdf ]

[CJBM01] Benjie Chen, Kyle Jamieson, Hari Balakrishnan, and Robert Morris. Span: An energy-efficient coordination algorithm for topology maintenance in ad hoc wireless networks. In Proceedings of the Seventh Annual International Conference on Mobile Computing and Networking (MOBICOM'01), pages 85–96, July 2001. doi: 10.1145/381677.381686
[ bib | doi ]

[CJDM99] Vinodh Cuppu, Bruce Jacob, Brian Davis, and Trevor Mudge. A performance comparison of contemporary DRAM architectures. In Proceedings of the 26th International Symposium on Computer Architecture (ISCA'99), May 1999. doi: 10.1145/300979.300998
[ bib | doi ]

[CKC07] Youngjin Cho, Younghyun Kim, and Naehyuck Chang. PVS: Passive voltage scaling for wireless sensor networks. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07), pages 135–140, New York, NY, USA, 2007. ACM. doi: 10.1145/1283780.1283810
[ bib | doi ]

[CKE00] Todd Cignetti, Kirill Komarov, and Carla Ellis. Energy estimation tools for the palm. In Proceedings of the 9th ACM Workshop on Modeling, Analysis and Simulation of Wireless and Mobile Systems MSWiM 2000, August 2000.
[ bib | .pdf ]

[CLV99] C.Ellis, A. Lebeck, and A. Vahdat. System support for energy management in mobile and embedded workloads: A white paper. Technical report, Duke University, Department of Computer Science, October 1999.
[ bib | .pdf ]

[CM05] Gilberto Contreras and Margaret Martonosi. Power prediction for Intel XScale processors using performance monitoring unit events. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), August 2005. doi: 10.1145/1077603.1077657
[ bib | doi ]

[CMDAN06] Matthew Curtis-Maury, James Dzierwa, Christos D. Antonopoulos, and Dimitrios S. Nikolopoulos. Online power-performance adaptation of multithreaded programs using hardware event-based prediction. In Proceedings of the Twentieth Annual International Conference on Supercomputing (ICS'06), pages 157–166, New York, NY, USA, 2006. ACM. doi: 10.1145/1183401.1183426
[ bib | doi ]

[CMSB+08] Matthew Curtis-Maury, Ankur Shah, Filip Blagojevic, Dimitrios S. Nikolopoulos, Bronis R. de Supinski, and Martin Schulz. Prediction models for multi-dimensional power-performance optimization on many cores. In Proceedings of the Seventeenth Conference on Parallel Architectures and Compilation Techniques (PACT'08), pages 250–259, New York, NY, USA, 2008. ACM. doi: 10.1145/1454115.1454151
[ bib | doi ]

[Com99] IEEE Computer Society LAN MAN Standards Committee. IEEE 802.11: Wireless LAN Medium Access Control and Physical Layer Specifications, August 1999.
[ bib ]

[Cor04] Intel Corporation. Wireless Intel SpeedStep© power manager. Technical report, Intel Corporation, 2004.
[ bib | .html ]

[CPB03] E.V. Carrera, E. Pinheiro, and R. Bianchini. Conserving disk energy in network servers. In Proceedings of the 17th International Conference on Supercomputing, June 2003.
[ bib | .ps.gz ]

[CR00] Carla-Fabiana Chiasserini and Ramesh R. Rao. Routing protocols to maximize battery efficiency. In Proceedings of IEEE Milcom 2000, October 2000.
[ bib | .pdf ]

[CR01] Carla-Fabiana Chiasserini and Ramesh R. Rao. Improving battery performance by using traffic shaping techniques. IEEE JSAC Wireless Series, 19(7), July 2001.
[ bib | .pdf ]

[CRW07] Ayse K. Coskun, Tajana Simunic Rosing, and Keith Whisnant. Temperature aware task scheduling in MPSoCs. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'07), pages 1–6, April 2007. doi: 10.1109/DATE.2007.364540
[ bib | doi | .pdf ]

[CSC02] Inseok Choi, Hojun Shim, and Naehyuck Chang. Low-power color TFT LCD display for hand-held embedded systems. In Proceedings of the 2002 International Symposium on Low-Power Electronics and Design (ISLPED'02), August 2002. doi: 10.1145/566408.566440
[ bib | doi ]

[CSK+02] G. Chen, R. Shetty, M. Kandemir, N. Vijaykrishnan, M. J. Irwin, and M. Wolczko. Tuning garbage collection for reducing memory system energy in an embedded java environment. ACM Transactions on Embedded Computing Systems (TECS), 1(1), November 2002. doi: 10.1145/581888.581892
[ bib | doi ]

[CSP04a] Kihwan Choi, Ramakrishna Soma, and Massoud Pedram. Dynamic voltage and frequency scaling based on workload decomposition. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), August 2004. doi: 10.1145/1013235.1013282
[ bib | doi | .pdf ]

[CSP04b] Kihwan Choi, Ramakrishna Soma, and Massoud Pedram. Fine-grained dynamic voltage and frequency scaling for precise energy and performance trade-off based on the ratio of off-chip access to on-chip computation times. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'04), February 2004. doi: 10.1109/DATE.2004.1268819
[ bib | doi ]

[CV02] Surendar Chandra and Amin Vahdat. Application-specific network management for energy-aware streaming of popular multimedia format. In Proceedings of the 2002 USENIX Annual Technical Conference, June 2002.
[ bib | .html ]

[DE03] Angela Dalton and Carla Ellis. Sensing user intention and context for energy management. In Proceedings of the Ninth Workshop on Hot Topics in Operating Systems (HotOS'03), May 2003.
[ bib | .pdf ]

[DEV04] Angela B. Dalton, Carla S. Ellis, and Abhijit Vijay. Exploiting context data fidelity for enhanced privacy and energy saving. In Mobisys Context Aware Workshop. ACM Press, June 2004.
[ bib | .pdf ]

[DGJB07] B. Diniz, D. Guedes, W. Meira Jr., and R. Bianchini. Limiting the power consumption of main memory. In Proceedings of the 34th International Symposium on Computer Architecture (ISCA'07), June 2007.
[ bib | .pdf ]

[DKB95] F. Douglis, P. Krishnan, and B. Bershad. Adaptive disk spindown policies for mobile computers. In Proceedings of the Second USENIX Symposium on Mobile and Location Independent Computing, April 1995.
[ bib | .ps.gz ]

[DKK02] Victor Delaluz, Mahmut T. Kandemir, and Ibrahim Kolev. Automatic data migration for reducing energy consumption in multi-bank memory systems. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1145/513918.513973
[ bib | doi ]

[DKM94] Fred Douglis, P. Krishnan, and Brian Marsh. Thwarting the power-hungry disk. In USENIX Winter, pages 292–306, 1994.
[ bib | .html ]

[DKV+02] V. Delaluz, M. Kandemir, N. Vijaykrishnan, M. J. Irwin, A. Sivasubramaniam, and I. Kolcu. Compiler-directed array interleaving for reducing energy in multi-bank memories. In Proceedings of the Seventh Asia and South Pacific Design Automation Conference and Fifteenth International Conference on VLSI Design (ASP-DAC'02 / VLSI Design'02), January 2002. doi: 10.1109/ASPDAC.2002.994936
[ bib | doi ]

[DLK06] Chia-Tien Da Lo and Mayumi Kato. Power consumption reduction by memory compression in java embedded systems. In Proceedings of the Twentieth Annual International Conference on Supercomputing (ICS'06), 2006.
[ bib | .pdf ]

[DLKB02] Rajagopalan Desikan, Charles R. Lefurgy, Stephen W. Keckler, and Doug Burger. On-chip MRAM as a high-bandwidth, low-latency replacement for DRAM physical memories. Technical Report TR-02-47, Department of Computer Sciences, The University of Texas at Austin, September 2002.
[ bib | .pdf ]

[DM04] James Donald and Margaret Martonosi. Temperature-aware design issues for SMT and CMP architectures. In Proceedings of the Fifth Workshop on Complexity-Effective Design, in conjunction with International Symposium on Computer Architecture (ISCA), June 2004.
[ bib ]

[DM05] James Donald and Margaret Martonosi. Leveraging simultaneous multithreading for adaptive thermal control. In Proceedings of the Second Workshop on Temperature-Aware Computer Systems (TACS'05), Madison, USA, June 2005.
[ bib | .pdf ]

[DM06] James Donald and Margaret Martonosi. Techniques for multicore thermal management: Classification and new exploration. SIGARCH Comput. Archit. News, 34(2):78–88, 2006. doi: 10.1145/1150019.1136493
[ bib | doi ]

[DMR09] Gaurav Dhiman, Giacomo Marchetti, and Tajana Rosing. vGreen: a system for energy efficient computing in virtualized environments. In Proceedings of the 2009 International Symposium on Low-Power Electronics and Design (ISLPED'09), pages 243–248, New York, NY, USA, 2009. ACM. doi: 10.1145/1594233.1594292
[ bib | doi ]

[DOTH07] Adam Dunkels, Fredrik Osterlind, Nicolas Tsiftes, and Zhitao He. Software-based on-line energy estimation for sensor nodes. In Proceedings of the Fourth workshop on Embedded networked sensors (EMNETS'07), pages 28–32, New York, NY, USA, June 2007. ACM Press. doi: 10.1145/1278972.1278979
[ bib | doi ]

[Dou93] Fred Douglis. The compression cache: Using online compression to extend physical memory. In Proceedings of the USENIX Winter Technical Conference, pages 519–529, 1993.
[ bib | .ps.gz ]

[DR07] Gaurav Dhiman and Tajana Simunic Rosing. Dynamic voltage frequency scaling for multi-tasking systems using online learning. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07), pages 207–212, New York, NY, USA, 2007. ACM. doi: 10.1145/1283780.1283825
[ bib | doi ]

[DRC03] Zoran Dimitrijevic, Raju Rangaswami, and Edward Chang. Design and implementation of semi-preemtible IO. In Proceedings of the Second Conference on File and Storage Technologies (FAST'03), March 2003.
[ bib | .pdf ]

[DSK+01] V. Delaluz, A. Sivasubramaniam, M. Kandemir, N. Vijaykrishnan, and M. Irwin. DRAM energy management using software and hardware directed power mode control. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), January 2001.
[ bib | .html ]

[DSK+02] V. Delaluz, A. Sivasubramaniam, M. Kandemir, N. Vijaykrishnan, and M. Irwin. Scheduler based DRAM energy management. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1109/DAC.2002.1012714
[ bib | doi ]

[EKR02] Mootaz Elnozahy, Mike Kistler, and Ram Rajamony. Energy-efficient server clusters. In Proceedings of the Second Workshop on Power Aware Computing Systems, February 2002. doi: 10.1007/3-540-36612-1_12
[ bib | doi ]

[EKR03] Mootaz Elnozahy, Michael Kistler, and Ramakrishnan Rajamony. Energy conservation policies for web servers. In Proceedings of the 4th USENIX Symposium on Internet Technologies and Systems USITS'03, March 2003.
[ bib | .pdf ]

[Ell99] C. Ellis. The case for higher level power management. In Proceedings of the Seventh Workshop on Hot Topics in Operating Systems (HotOS'99), March 1999.
[ bib | .pdf ]

[FBA+00] J. Flinn, G. Back, J. Anderson, K. Farkas, and D. Grunwald. Quantifying the energy consumption of a pocket computer and a java virtual machine. In Proceedings of the International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'00), June 2000.
[ bib | .pdf ]

[Fee04] Laura Marie Feeney. Energy efficient communication in ad hoc networks. In Stefano Basagni, Marco Conti, Silvia Giordano, and Ivan Stojmenovic, editors, Mobile Ad Hoc Networking, July 2004. doi: 10.1002/0471656895.ch11
[ bib | doi ]

[FEL01] Xiaobo Fan, Carla Ellis, and Alvin Lebeck. Memory controller policies for DRAM power management. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001.
[ bib | .pdf ]

[FEL02] Xiaobo Fan, Carla S. Ellis, and Alvin R. Lebeck. Synergy between power-aware memory systems and processor voltage scaling. Technical Report CS-2002-12, Duke University, Department of Computer Science, November 2002.
[ bib | .pdf ]

[FEL03] Xiaobo Fan, Carla Ellis, and Alvin Lebeck. Interactions of power-aware memory systems and processor voltage scaling. In Proceedings of the Workshop on Power-Aware Computer Systems (PACS'03), December 2003.
[ bib | .pdf ]

[FFA00] J Flinn, K. Farkas, and J. Anderson. Power and energy characterization of the itsy pocket computer. Technical Report TN-56, COMPAQ Western Research Lab, February 2000.
[ bib | .pdf ]

[FKK+03] W. M. Felter, T. W. Keller, M. D. Kistler, C. Lefurgy, K. Rajamani, R. Rajamony, F. L. Rawson, B. A. Smith, and E. Van Hensbergen. On the performance and use of dense servers. IBM Journal of Research and Development, 47(5):671–688, 2003. doi: 10.1147/rd.475.0671
[ bib | doi ]

[Fla01] Krisztián Flautner. Automatic Monitoring for Interactive Performance and Power Reduction. PhD thesis, University of Michigan, Department of Electrical Engineering and Computer Science, February 2001.
[ bib | .pdf ]

[Fle01] Marc Fleischmann. Longrun power management. White Paper of Transmeta Corporation, January 2001.
[ bib | .pdf ]

[FM02] Krisztián Flautner and Trevor Mudge. Vertigo: Automatic performance-setting for linux. In Proceedings of the Fifth Symposium on Operating System Design and Implementation (OSDI'02), December 2002.
[ bib | .pdf ]

[FN01] L.M. Feeney and M. Nilsson. Investigating the energy consumption of a wireless network interface in an ad hoc networking environment. In Proceedings of the Twentieth Annual Joint Conference of the IEEE Computer and Communications Societies (INFOCOM'01), April 2001. doi: 10.1109/INFCOM.2001.916651
[ bib | doi ]

[FPL+07] Vincent W. Freeh, Feng Pan, David K. Lowenthal, Nandini Kappiah, Rob Springer, Barry L. Rountree, and Mark E. Femal. Analyzing the energy-time tradeoff in high-performance computing applications. IEEE Transactions on Parallel and Distributed Systems, 18(6):835–848, June 2007.
[ bib | .pdf ]

[Fra01] Michael Franz. A fresh look at low-power mobile computing. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'01), September 2001.
[ bib | .pdf ]

[Fre00] Michael J. Freedman. The compression cache: virtual memory compression for handheld computers. Technical report, Parallel and Distributed Operating Systems Group, MIT Lab for Computer Science, Cambridge, 2000.
[ bib | .pdf ]

[FRM01] Krisztián Flautner, Steven Reinhardt, and Trevor Mudge. Automatic performance setting for dynamic voltage scaling. In Proceedings of the Seventh Annual International Conference on Mobile Computing and Networking (MOBICOM'01), July 2001. doi: 10.1145/381677.381702
[ bib | doi ]

[FRRJ04] Yunsi Fei, Srivaths Ravi, Anand Raghunathan, and Niraj K. Jha. Energy-optimizing source code transformations for OS-driven embedded software. In Proceedings of the Seventeenth International Conference on VLSI Design (VLSI Design'04), January 2004. doi: 10.1109/ICVD.2004.1260934
[ bib | doi ]

[FS99a] Jason Flinn and M. Satyanarayanan. Energy-aware adaptation for mobile applications. In Proceedings of the Seventeenth Symposium on Operating System Principles (SOSP'99), December 1999.
[ bib | .pdf ]

[FS99b] Jason Flinn and M. Satyanarayanan. PowerScope: A tool for profiling the energy usage of mobile applications. In Proceedings of the 2nd IEEE Workshop on Mobile Computing Systems and Applications WMCSA'99, February 1999. doi: 10.1109/MCSA.1999.749272
[ bib | doi | .pdf ]

[FURM00] Krisztián Flautner, Richard Uhlig, Steven K. Reinhardt, and Trevor N. Mudge. Thread level parallelism and interactive performance of desktop applications. In Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'00), pages 129–138, 2000.
[ bib | .pdf ]

[GABR02] Franco Gatti, Andrea Acquaviva, Luca Benini, and Bruno Riccó. Low power control techniques for TFT LCD displays. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'02), October 2002. doi: 10.1145/581630.581664
[ bib | doi ]

[GBCH01] Stephen H. Gunther, Frank Binns, Douglas M. Carmean, and Jonathan C. Hall. Managing the impact of increasing microprocessor power consumption. Intel Technology Journal, 2001. Q1 issue.
[ bib | .pdf ]

[GBHL06] Chris Gniady, Ali R. Butt, Y. Charlie Hu, and Yung-Hsiang Lu. Program counter-based prediction techniques for dynamic power management. IEEE Transactions on Computers, 55(6):641–658, June 2006. doi: 10.1109/TC.2006.87
[ bib | doi ]

[GC09] Fei Guo and Kit Colbert. Understanding host and guest memory usage and other memory management concepts. In VMworld 2009, 2009.
[ bib ]

[GCW95] K. Govil, E. Chan, and H. Wassermann. Comparing algorithms for dynamic speed-setting of a low-power CPU. In Proceedings of the First Annual International Conference on Mobile Computing and Networking (MOBICOM'95), March 1995. doi: 10.1145/215530.215546
[ bib | doi ]

[GDN01] Peter Grun, Nikil Dutt, and Alex Nicolau. Access pattern based local memory customization for low power embedded systems. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'01), pages 778–784, March 2001. doi: 10.1109/DATE.2001.915120
[ bib | doi | http ]

[GDN03] Peter Grun, Nikil Dutt, and Alex Nicolau. Access pattern-based memory and connectivity architecture exploration. ACM Transactions on Embedded Computing Systems (TECS), 2(1), February 2003. doi: 10.1145/605459.605462
[ bib | doi ]

[GG03a] Soraya Ghiasi and Dirk Grunwald. Aide de Camp: Asymmetric dual core design for power and energy reduction. Technical Report 80309-0430, University of Colorado, Boulder, Department of Computer Science, May 2003.
[ bib | .pdf ]

[GG03b] José González and Antonio González. Dynamic cluster resizing. In Proceedings of the 2003 IEEE International Conference on Computer Design (ICCD'03), October 2003. doi: 10.1109/ICCD.2003.1240922
[ bib | doi ]

[GH96] R. Gonzalez and M. Horowitz. Energy dissipation in general purpose microprocessors. IEEE Journal of Solid-State Circuits, 31(9):1277–1284, September 1996.
[ bib | .pdf ]

[GK04] Selim Gurun and Chandra Krintz. NWSLite: A light-weight prediction utility for mobile devices. In Proceedings of the Second International Conference on Mobile Systems, Applications, and Services (MOBISYS'04), June 2004.
[ bib | .pdf ]

[GK05] Selim Gurun and Chandra Krintz. AutoDVS: an automatic, general-purpose, dynamic clock scheduling system for hand-held devices. In Proceedings of the Fifth ACM International Conference on Embedded Software (EMSOFT'05), pages 218–226, September 2005. doi: 10.1145/1086228.1086270
[ bib | doi ]

[GKR05] Soraya Ghiasi, Tom Keller, and Freeman Rawson. Scheduling for heterogeneous processors in server systems. In Proceedings of the Second Conference on Computing frontiers (CF'05), pages 199–210, New York, NY, USA, 2005. ACM. doi: 10.1145/1062261.1062295
[ bib | doi ]

[GLL+04] Stefano Gregori, Yunlei Li, Huijuan Li, Jin Liu, and Franco Maloberti. 2.45 GHz power and data transmission for a low-power autonomous sensors platform. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 269–273, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013303
[ bib | doi ]

[GLM+00] Dirk Grunwald, Philip Levis, Charles B. Morrey, Michael Neufeld, and Keith I. Farkas. Policies for dynamic clock scheduling. In Proceedings of the Fourth Symposium on Operating System Design and Implementation (OSDI'00), October 2000.
[ bib | .pdf ]

[GLS93] Christos J. Georgiou, Thor A. Larsen, and Eugen Schenfeld. Variable chip-clocking mechanism. United States Patent 5,189,314, February 1993.
[ bib | .html ]

[GPV04] Mohamed Gomaa, Michael D. Powell, and T. N. Vijaykumar. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. In ASPLOS-XI: Proceedings of the 11th international conference on Architectural support for programming languages and operating systems, pages 260–270, New York, NY, USA, 2004. ACM. doi: 10.1145/1024393.1024424
[ bib | doi | .pdf ]

[Gre94] Paul Greenawalt. Modeling power management for hard disks. In Proceedings of the Symposium on Modeling and Simulation of Computer and Telecommunication Systems, January 1994.
[ bib | .ps.gz ]

[GRE+01] M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown. MiBench: A free, commercially representative embedded benchmark suite. In Proceedings of the IEEE 4th Annual Workshop on Workload Characterization, December 2001.
[ bib | .pdf ]

[Gro03] Andrew Grover. Modern system power management. ACM Queue, 1(7), October 2003.
[ bib | http ]

[GRSW04] E. Grochowski, R. Ronen, J. Shen, and H. Wang. Best of both latency and throughput. In Proceedings of the 2004 IEEE International Conference on Computer Design (ICCD'04), pages 236 – 243, October 2004. doi: 10.1109/ICCD.2004.1347928
[ bib | doi ]

[GSGN00] John L. Griffin, Steven W. Schlosser, Gregory R. Ganger, and David F. Nagle. Operating system management of MEMS-based storage devices. In Proceedings of the Fourth Symposium on Operating System Design and Implementation (OSDI'00), October 2000.
[ bib | .pdf ]

[GSI+02] S. Gurumurthi, A. Sivasubramaniam, M. Irwin, N. Vijaykrishnan, M. Kandemir, T. Li, and L. John. Using complete machine simulation for software power estimation: The SoftWatt approach. In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), February 2002.
[ bib | .pdf ]

[GSKF03a] Sudhanva Gurumurthi, Anand Sivasubramaniam, Mahmut Kandemir, and Hubertus Franke. DRPM: dynamic speed control for power management in server class disks. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03), June 2003. doi: 10.1145/859618.859638
[ bib | doi ]

[GSKF03b] Sudhanva Gurumurthi, Anand Sivasubramaniam, Mahmut Kandemir, and Hubertus Franke. Reducing disk power consumption in servers with DRPM. IEEE Computer, 36(12):59–66, December 2003. doi: 10.1109/MC.2003.1250884
[ bib | doi ]

[GSS+02] John Linwood Griffin, Jiri Schindler, Steven W. Schlosser, John S. Bucy, and Gregory R. Ganger. Timing-accurate storage emulation. In Proceedings of the First Conference on File and Storage Technologies (FAST'02), 2002.
[ bib | .html ]

[HCG+06] T. Heath, A. P. Centeno, P. George, L. Ramos, Y. Jaluria, and R. Bianchini. Mercury and Freon: Temperature emulation and management for server systems. In Proceedings of the Thirteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'06), October 2006.
[ bib | .pdf ]

[HDC+03] T. Heath, B. Diniz, E. V. Carrera, W. Meira Jr., and R. Bianchini. Self-configuring heterogeneous server clusters. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03), September 2003.
[ bib | .ps.gz ]

[HDC+05] Taliver Heath, Bruno Diniz, Enrique V. Carrera, Wagner Meira, and Ricardo Bianchini. Energy conservation in heterogeneous server clusters. In Proceedings of the Tenth ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP'05), June 2005.
[ bib | .ps.gz ]

[HF04] Chung-Hsing Hsu and Wu-Chun Feng. Effective dynamic voltage scaling through CPU-boundedness detection. In Proceedings of the Workshop on Power-Aware Computer Systems (PACS'04), December 2004.
[ bib | .pdf ]

[HF05] Chung-hsing Hsu and Wu-chun Feng. A power-aware run-time system for high-performance computing. In Proceedings of the ACM/IEEE Conference on Supercomputing (SC'05), Washington, DC, USA, 2005. IEEE Computer Society. doi: 10.1109/SC.2005.3
[ bib | doi ]

[HHS05] Hai Huang, Wanda Hung, and Kang Shin. FS2: Dynamic data replication in free disk space for improving disk performance and energy-consumption. In Proceedings of the Twentieth Symposium on Operating System Principles (SOSP'05), October 2005. doi: 10.1145/1095810.1095836
[ bib | doi ]

[HIG94] Mark Horowitz, Thomas Indermaur, and Ricardo Gonzalez. Low-power digital design. In Symposium on Low Power Electronics, October 1994. doi: 10.1109/LPE.1994.573184
[ bib | doi ]

[HK01] J. Hom and U. Kremer. Energy management of virtual memory on diskless devices. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'01), September 2001.
[ bib | .pdf ]

[HK05] Jerry Hom and Ulrich Kremer. Inter-program optimizations for conserving disk energy. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), August 2005. doi: 10.1145/1077603.1077684
[ bib | doi ]

[HKK06] Yongkui Han, Israel Koren, and C. M. Krishna. Temptor: A lightweight runtime temperature monitoring tool using performance counters. In Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06), June 2006.
[ bib | .pdf ]

[HKM02] Zhigang Hu, Stefanos Kaxiras, and Margaret Martonosi. Timekeeping in the memory system: Predicting and optimizing memory behavior. In Proceedings of the 29th International Symposium on Computer Architecture (ISCA'02), May 2002.
[ bib | .pdf ]

[HKQ+98] I. Hong, D. Kirovski, G. Qu, M. Potkonjak, and M. Srivastava. Power optimization of variable voltage core-based systems. In Proceedings of the 35th Design Automation Conference (DAC'98), June 1998.
[ bib | .pdf ]

[HKS+03] J. Haid, G. Kaefer, Ch. Steger, R. Weiss, W. Schögler, and M. Manninger. Run-time energy estimation in system-on-a-chip designs. In Proceedings of the Eighth Asia and South Pacific Design Automation Conference (ASP-DAC'03), pages 595–599, January 2003. doi: 10.1109/ASPDAC.2003.1195094
[ bib | doi ]

[HLS96] David P. Helmbold, Darrell D. E. Long, and Bruce Sherrod. A dynamic disk spin-down technique for mobile computing. In Proceedings of the Second Annual International Conference on Mobile Computing and Networking (MOBICOM'96), pages 130–142, 1996. doi: 10.1145/236387.236423
[ bib | doi ]

[HM07] Sebastian Herbert and Diana Marculescu. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07), pages 38–43, New York, NY, USA, 2007. ACM. doi: 10.1145/1283780.1283790
[ bib | doi ]

[HPB02] Taliver Heath, Eduardo Pinheiro, and Ricardo Bianchini. Application-supported device management for energy and performance. In Proceedings of the Workshop on Power-Aware Computer Systems (PACS'02), February 2002.
[ bib | .ps.gz ]

[HPH+02] Taliver Heath, Eduardo Pinheiro, Jerry Hom, Ulrich Kremer, and Ricardo Bianchini. Application transformations for energy and performance-aware device management. In Proceedings of the Eleventh Conference on Parallel Architectures and Compilation Techniques (PACT'02), September 2002. doi: 10.1109/PACT.2002.1106011
[ bib | doi ]

[HPH+04] Taliver Heath, Eduardo Pinheiro, Jerry Hom, Ulrich Kremer, and Ricardo Bianchini. Code transformations for energy-efficient device management. IEEE Transactions on Computers, 53(8), August 2004.
[ bib | .pdf ]

[HPIM+05] Hewlett-Packard, Intel, Microsoft, Phoenix, and Toshiba. Advanced Configuration and Power Interface Specification 3.0a, December 2005.
[ bib | .pdf ]

[HPIM+10] Hewlett-Packard, Intel, Microsoft, Phoenix, and Toshiba. Advanced Configuration and Power Interface Specification 4.0a, April 2010.
[ bib | .pdf ]

[HPS98] I. Hong, M. Potkonjak, and M. Srivastava. On-line scheduling of hard real-time tasks on variable voltage voltage processor. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'98), November 1998.
[ bib | .pdf ]

[HPS03] H. Huang, P. Pillai, and K. G. Shin. Design and implementation of power-aware virtual memory. In Proceedings of the 2003 USENIX Annual Technical Conference, June 2003.
[ bib | .html ]

[HQPS98] I. Hong, G. Qu, M. Potkonjak, and M. Srivastava. Synthesis techniques for low-power hard real-time systems on variable voltage processors. In Proceedings of the Nineteenth Real-Time Systems Symposium (RTSS'98), December 1998. doi: 10.1109/REAL.1998.739744
[ bib | doi ]

[HRYT00] Michael Huang, Jose Renau, Seung-Moon Yoo, and Josep Torrellas. A framework for dynamic energy efficiency and temperature management. In Proceedings of the 33rd International Symposium on Microarchitecture MICRO'2000, December 2000. doi: 10.1145/360128.360149
[ bib | doi ]

[HS99] P. Havinga and G. Smit. Octopus: embracing the energy efficiency of handheld multimedia computers. In Proceedings of the Fifth Annual International Conference on Mobile Computing and Networking (MOBICOM'99), August 1999.
[ bib | .pdf ]

[HSI+01] John Heidemann, Fabio Silva, Chalermek Intanagonwiwat, Ramesh Govindan, Deborah Estrin, and Deepak Ganesan. Building efficient wireless sensor networks with low-level naming. In Proceedings of the Eighteenth Symposium on Operating System Principles (SOSP'01), pages 146–159, 2001. doi: 10.1145/502034.502049
[ bib | doi ]

[HSS+04] Wei Huang, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan, Shougata Ghosh, and Sivakumar Velusamy. Compact thermal modeling for temperature aware design. In Proceedings of the 41st Design Automation Conference (DAC'04), 2004.
[ bib | .pdf ]

[HSWV01] P. Havinga, G. Smit, G. Wu, and L. Vognild. Energy management for dynamically reconfigurable heterogeneous mobile systems. In Proceedings of the 10th Heterogeneous Computing Workshop, April 2001.
[ bib | .pdf ]

[HW95] Mark Herbster and Manfred K. Warmuth. Tracking the best expert. In International Conference on Machine Learning, pages 286–294, 1995.
[ bib | .pdf ]

[IBC+06] Canturk Isci, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose, and Margaret Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'06), pages 347–358, Washington, DC, USA, 2006. IEEE Computer Society. doi: 10.1109/MICRO.2006.8
[ bib | doi ]

[IBM99] IBM. Adaptive power management for mobile hard drives. White Paper, January 99.
[ bib | .html ]

[ICM06] Canturk Isci, Gilberto Contreras, and Margaret Martonosi. Live, runtime phase monitoring and prediction on real systems with application to dynamic power management. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'06), pages 359–370, Washington, DC, USA, 2006. IEEE Computer Society. doi: 10.1109/MICRO.2006.30
[ bib | doi | .pdf ]

[ILMR03] Subu Iyer, Lu Luo, Robert Mayo, and Parthasarathy Ranganathan. Energy-adaptive display system designs for future mobile environments. In Proceedings of the First International Conference on Mobile Systems, Applications, and Services (MOBISYS'03), May 2003.
[ bib | .html ]

[IM03a] Canturk Isci and Margaret Martonosi. Identifying program power phase behavior using power vectors. In Proceedings of the Sixth IEEE International Workshop on Workload Characterization (WWC-6), pages 108–118, October 2003. doi: 10.1109/WWC.2003.1249062
[ bib | doi ]

[IM03b] Canturk Isci and Margaret Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. Technical report, Princeton University Dept. of Electrical Engineering, 2003.
[ bib | .pdf ]

[IM03c] Canturk Isci and Margaret Martonosi. Runtime power monitoring in high-end processors: Methodology and empirical data. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'03), pages 93–104, Washington, DC, USA, 2003. IEEE Computer Society.
[ bib | .pdf ]

[IM06] Canturk Isci and Margaret Martonosi. Phase characterization for power: Evaluating control-flow-based and event-counter-based techniques. In Proceedings of the Twelfth International Symposium on High-Performance Computer Architecture (HPCA'06), February 2006. doi: 10.1109/HPCA.2006.1598119
[ bib | doi ]

[IMB05] Canturk Isci, Margaret Martonosi, and Alper Buyuktosunoglu. Long-term workload phases: Duration predictions and applications applications to DVFS. IEEE Micro, 25(5):39–51, September 2005. doi: 10.1109/MM.2005.93
[ bib | doi ]

[IMP05] Ali Iranli, Morteza Maleki, and Massoud Pedram. Energy efficient strategies for deployment of a two-level wireless sensor network. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), pages 233–238, New York, NY, USA, August 2005. ACM Press. doi: 10.1145/1077603.1077659
[ bib | doi ]

[Inc04a] Micron Technology Inc. 240-Pin 256MB, 512MB, 1GB DDR2 SDRAM FBDIMM (SR, FB, x72) Features, 2004.
[ bib ]

[Inc04b] Micron Technology Inc. 512Mb: x4, x8, x16 DDR2 SDRAM Features, 2004.
[ bib ]

[Inc05] Micron Technology Inc. Mobile DRAM power-saving features/calculations. Technical Report TN-46-12, Micron Technology Inc., 2005.
[ bib ]

[Inc07] Micron Technology Inc. Calculating memory system power for DDR3. Technical Report TN-41-01, Micron Technology Inc., 2007.
[ bib ]

[Int98] Intel. Mobile Power Guidelines 2000 Rev 1.0, December 1998.
[ bib | .pdf ]

[Int99] Intel. Intel StrongARM SA-1100 Microprocessor Developer's Manual, April 1999.
[ bib ]

[Int00a] Intel. Intel SpeedStep Technology, January 2000.
[ bib | .html ]

[Int00b] Intel. Intel XScale Microarchitecture Technical Summary, July 2000.
[ bib | .pdf ]

[Int01] Intel. Intel® IQ80310 Evaluation Platform, July 2001.
[ bib ]

[Int02] Intel. Intel® Pentium® 4 Processor with 512-KB L2 Cache on 0.13 Micron Process Thermal Design Guidelines Design Guide, November 2002.
[ bib | .pdf ]

[Int03a] Intel. Intel® 80200 Processor based on Intel® XScale Microarchitecture Developer's Manual, March 2003.
[ bib ]

[Int03b] Intel. Intel® Pentium® M Processor Datasheet, June 2003.
[ bib | .pdf ]

[IS02] IBM and Monta Vista Software. Dynamic power management for embedded systems. White Paper, November 2002.
[ bib | .pdf ]

[Jan01] Jeff Janzen. Calculating memory system power for DDR SDRAM. Designline, 10(2), 2001.
[ bib | .pdf ]

[JBM01] Russ Joseph, David Brooks, and M. Martonosi. Live, runtime power measurements as a foundation for evaluating power/performance tradeoffs. In Proceedings of the Workshop on Complexity-Effective Design, in conjunction with International Symposium on Computer Architecture (ISCA), June 2001.
[ bib | .pdf ]

[JCS+02] Yongsoo Joo, Yongseok Choi, Hojun Shim, Hyung Lee, Kwanho Kim, and Naehyuck Chang. Energy exploration and reduction of SDRAM memory systems. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1145/513918.514138
[ bib | doi ]

[JG04] Ravindra Jejurikar and Rajesh Gupta. Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 78–81, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013261
[ bib | doi ]

[Jha01] Niraj K. Jha. Low power system scheduling and synthesis. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'01), pages 259–263, Piscataway, NJ, USA, 2001. IEEE Press.
[ bib ]

[JLN97] Toni Juan, Thomas Lang, and Juan J. Navarro. Reducing TLB power requirements. In Proceedings of the 1997 International Symposium on Low Power Electronics and Design, pages 196–201, August 1997. doi: 10.1145/263272.263332
[ bib | doi ]

[JM01] Russ Joseph and M. Martonosi. Run-time power estimation in high-performance microprocessors. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001.
[ bib | .pdf ]

[JML06] Ramkumar Jayaseelan, Tulika Mitra, and Xianfeng Li. Estimating the worst-case execution energy of embedded software. In Proceedings of the Twelfth Real-Time and Embedded Technology and Applications Symposium (RTAS'06), April 2006.
[ bib | .pdf ]

[Joh01] Chris Johnson. BAT-RAM memory for battery-powered applications. Designline, 10(1), 2001.
[ bib | .pdf ]

[JUM97] JUMPtec. DIMM-PC/486-I Technical Manual Rev.1.0, 1997.
[ bib | .pdf ]

[JWP+05] Philo Juang, Qiang Wu, Li-Shiuan Peh, Margaret Martonosi, and Douglas W. Clark. Coordinated, distributed, formal energy management of chip multiprocessors. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), pages 127–130, New York, NY, USA, August 2005. ACM Press. doi: 10.1145/1077603.1077637
[ bib | doi ]

[KAB+03] Nam Sung Kim, Todd Austin, David Blaauw, Trevor Mudge, Krisztián Flautner, Jie S. Hu, Mary Jane Irwin, Mahmut Kandemir, and Vijaykrishnan Narayanan. Leakage current: Moore's law meets static power. IEEE Computer, 36(12):68–75, December 2003. doi: 10.1109/MC.2003.1250885
[ bib | doi ]

[KAM02] Nam Sung Kim, Todd Austin, and Trevor Mudge. Low-energy data cache using sign compression and cache line bisection. In Proceedings of the 2nd Annual Workshop on Memory Performance Issues WMPI'02, May 2002.
[ bib | .pdf ]

[KAMG02] N. Kim, T. Austin, T. Mudge, and D. Grunwald. Challenges for architectural level power modeling. In Robert Graybill and Rami Melhem, editors, Power Aware Computing. Kluwer Academic Publishers, 2002.
[ bib | .pdf ]

[KB02] Ronny Krashinsky and Hari Balakrishnan. Minimizing energy for wireless web access with bounded slowdown. In Proceedings of the Eighth Annual International Conference on Mobile Computing and Networking (MOBICOM'02), September 2002.
[ bib | .pdf ]

[KCBP06] E. Kursun, C-Y. Cher, A. Buyuktosunoglu, and P.Bose. Investigating the effects of task scheduling on thermal behavior. In Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06), June 2006.
[ bib | .pdf ]

[KCK+01] I. Kadayif, T. Chinoda, M. Kandemir, N. Vijaykirsnan, M. J. Irwin, and A. Sivasubramaniam. vEC: virtual energy counters. In Proceedings of the 2001 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering PASTE'01, June 2001. doi: 10.1145/379605.379639
[ bib | doi ]

[KDG+04] Ramakrishna Kotla, Anirudh Devgan, Soraya Ghiasi, Tom Keller, and Freeman Rawson. Characterizing the impact of different memory-intensity levels. In Proceedings of the Seventh IEEE International Workshop on Workload Characterization (WWC-7), 2004. doi: 10.1109/WWC.2004.1437388
[ bib | doi ]

[Kel03] Simon Kellner. Event-driven temperature-control in operating systems. Study thesis, Department of Computer Science, University of Erlangen-Nuremberg, April 2003.
[ bib | .pdf ]

[Kel10] Simon Kellner. Flexible online energy accounting in TinyOS. In Pedro Marron, Thiemo Voigt, Peter Corke, and Luca Mottola, editors, Proceedings of the Fourth International Workshop on Real-World Wireless Sensor Networks (RealWSN'10), volume 6511 of Lecture Notes in Computer Science, pages 62–73. Springer Berlin / Heidelberg, December 2010. doi: 10.1007/978-3-642-17520-6_6
[ bib | doi ]

[KEPA08] Theodoros Konstantakopoulos, Jonathan Eastep, James Psota, and Ananth Agarwal. Energy scalability of on-chip interconnection networks in multicore architecture. Technical report, Massachusetts Institute of Technology, 2008.
[ bib ]

[KF09] Viren Kumar and Alexandra Fedorova. Towards better performance per watt in virtual environments on asymmetric single-ISA multi-core systems. SIGOPS Operating Systems Review, 43(3):105–109, 2009. doi: 10.1145/1618525.1618538
[ bib | doi ]

[KFBM02] Nam Sung Kim, Krisztián Flautner, David Blaauw, and Trevor Mudge. Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. In Proceedings of the 35th International Symposium on Microarchitecture MICRO'2002, December 2002.
[ bib | .pdf ]

[KFJ+03] Rakesh Kumar, Keith I. Farkas, Norman P. Jouppi, Parthasarathy Ranganathan, and Dean M. Tullsen. Single-ISA heterogeneous multi-core architectures: The potential for processor power reduction. In Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture (MIRCO'03), 2003.
[ bib ]

[KGKR05] Ramakrishna Kotla, Soraya Ghiasi, Tom Keller, and Freeman Rawson. Scheduling processor voltage and frequency in server and cluster systems. In Proceedings of the Nineteenth IEEE International Parallel and Distributed Processing Symposium (IPDPS'05), page 234.2, Washington, DC, USA, 2005. IEEE Computer Society. doi: 10.1109/IPDPS.2005.392
[ bib | doi ]

[KGS+08] Deepa Kannan, Aseem Gupta, Aviral Shrivastava, Nikil D. Dutt, and Fadi J. Kurdahi. Ptsmt: A tool for cross-level power, performance, and thermal exploration of smt processors. In Proceedings of the 21st International Conference on VLSI Design (VLSI Design'08), pages 421–427, Washington, DC, USA, 2008. IEEE Computer Society. doi: 10.1109/VLSI.2008.84
[ bib | doi ]

[KGWB08] Wonyoung Kim, Meeta S. Gupta, Gu-Yeon Wei, and David Brooks. System level analysis of fast, per-core dvfs using on-chip switching regulators. In Proceedings of the Fourteenth International Symposium on High-Performance Computer Architecture (HPCA'08), February 2008.
[ bib | .pdf ]

[KK98a] Robin Kravets and P. Krishnan. Application-driven power management for mobile communication. ACM/URSI/Baltzer Wireless Networks (WINET) special issue of Best Papers from MobiCom'98, 1998.
[ bib | .pdf ]

[KK98b] Robin Kravets and P. Krishnan. Power management techniques for mobile communication. In Proceedings of the Fourth Annual International Conference on Mobile Computing and Networking (MOBICOM'98), October 1998. doi: 10.1145/288235.288276
[ bib | doi ]

[KK01] M. Kubisch and H. Karl. Analyzing energy consumption in wireless networks by relaying. Technical Report TKN-01-006, Telecommunication Networks Group, Technische Universität Berlin, June 2001.
[ bib | .pdf ]

[KKM04] Woonseok Kim, Jihong Kim, and Sang Lyul Min. Preemption-aware dynamic voltage scaling in hard real-time systems. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 393–398, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013328
[ bib | doi ]

[KKN+01] Kaoru Kawamoto, Jonathan Koomey, Bruce Nordman, Richard Brown, Mary Piette, Michael Ting, and Alan Meier. Electricity used by office equipment and network equipment in the U.S.: Detailed report and appendices. Technical Report LBNL-45917, Energy Analysis Department, Lawrence Berkeley National Laboratory, February 2001.
[ bib | .pdf ]

[Kla00] Alexander Klaiber. The technology behind crusoe processors. White Paper of Transmeta Corporation, January 2000.
[ bib | .pdf ]

[KLV99] P. Krishnan, Philip Long, and Jeffrey Scott Vitter. Adaptive disk spindown via optimal rent-to-buy in probabilistic environments. Algorithmica, 23(1):31–56, 1999. doi: 10.1007/PL00009249
[ bib | doi ]

[KMMO94] A. R. Karlin, M. S. Manasse, L. A. McGeoch, and S. Owicki. Competitive randomized algorithms for nonuniform problems. Algorithmica, 11(6):542–571, June 1994.
[ bib ]

[KP05] Joel Koshy and Raju Pandey. Remote incremental linking for energy-efficient reprogramming of sensor networks. In Proceedings of the Second European Workshop on Wireless Sensor Networks, 2005, pages 354–365, January 2005. doi: 10.1109/EWSN.2005.1462027
[ bib | doi | .pdf ]

[KSC99] R. Kravets, K. Schwan, and K. Calvert. Power-aware communication for mobile computers. In Proceedings of the Sixth International Workshop on Mobile Multimedia Communications(MoMuc-6 '99), November 1999.
[ bib | .pdf ]

[KSN07] Masaaki Kondo, Hiroshi Sasaki, and Hiroshi Nakamura. Improving fairness, throughput and energy-efficiency on a chip multiprocessor through dvfs. SIGARCH Comput. Archit. News, 35(1):31–38, 2007. doi: 10.1145/1241601.1241609
[ bib | doi ]

[KSPJ06] A. Kumar, Li Shang, Li-Shiuan Peh, and N.K. Jha. Hybdtm: a coordinated hardware-software approach for dynamic thermal management. In Proceedings of the 43rd Design Automation Conference (DAC'06), pages 548–553, 2006. doi: 10.1109/DAC.2006.229219
[ bib | doi ]

[KTFN02] Masaaki Kondo, Shinichi Tanaka, Motonobu Fujita, and Hiroshi Nakamura. Reducing memory system energy in data intensive computations by software-controlled on-chip memory. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'02), September 2002.
[ bib | .pdf ]

[KTJ06] Rakesh Kumar, Dean M. Tullsen, and Norman P. Jouppi. Core architecture optimization for heterogeneous chip multiprocessors. In Proceedings of the Fifteenth Conference on Parallel Architectures and Compilation Techniques (PACT'06), pages 23–32, New York, NY, USA, 2006. ACM. doi: 10.1145/1152154.1152162
[ bib | doi | .pdf ]

[KTR+04] Rakesh Kumar, Dean M. Tullsen, Parthasarathy Ranganathan, Norman P. Jouppi, and Keith I. Farkas. Single-ISA heterogeneous multi-core architectures for multithreaded workload performance. In Proceedings of the 31st International Symposium on Computer Architecture (ISCA'04), pages 64–75, June 2004.
[ bib | .pdf ]

[KWW04] Chandra Krintz, Ye Wen, and Rich Wolski. Application-level prediction of battery dissipation. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 224–229, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013292
[ bib | doi ]

[KZT05] Rakesh Kumar, Victor Zyuban, and Dean M. Tullsen. Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. SIGARCH Comput. Archit. News, 33(2):408–419, 2005. doi: 10.1145/1080695.1070004
[ bib | doi ]

[LAR01] Qun Li, Javed Aslam, and Daniela Rus. Online power-aware routing in wireless ad-hoc networks. In Proceedings of the Seventh Annual International Conference on Mobile Computing and Networking (MOBICOM'01), pages 97–107, July 2001. doi: 10.1145/381677.381687
[ bib | doi ]

[LB03] Hsien-Hsin S. Lee and Chinnakrishnan S. Ballapuram. Energy efficient D-TLB and data cache using semantic-aware multilateral partitioning. In Proceedings of the 2003 International Symposium on Low-Power Electronics and Design (ISLPED'03), pages 306–311, August 2003.
[ bib | .pdf ]

[LBLM02] Y. Lin, S. A. Brandt, D. D. E. Long, and E. L. Miller. Power conservation strategies for MEMS-based storage devices. In Proceedings of the Tenth IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems (MASCOTS'02), October 2002. doi: 10.1109/MASCOT.2002.1167060
[ bib | doi ]

[LBM00a] Yung-Hsiang Lu, Luca Benini, and Giovanni De Micheli. Low-power task scheduling for multiple devices. In Proceedings of the 8th International Workshop on Hardware/Software Codesign CODES'2000, 2000.
[ bib | .ps ]

[LBM00b] Yung-Hsiang Lu, Luca Benini, and Giovanni De Micheli. Operating-system directed power reduction. In Proceedings of the 2000 International Symposium on Low-Power Electronics and Design (ISLPED'00), pages 37–42, July 2000. doi: 10.1145/344166.344189
[ bib | doi ]

[LBM00c] Yung-Hsiang Lu, Luca Benini, and Giovanni De Micheli. Requester-aware power reduction. In International Symposium on System Synthesis, pages 18–23. Stanford University, September 2000. doi: 10.1145/501790.501796
[ bib | doi ]

[LBM02] Yung-Hsiang Lu, Luca Benini, and Giovanni De Micheli. Power-aware operating systems for interactive systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 10(2), April 2002. doi: 10.1109/92.994989
[ bib | doi ]

[LC04] Dexin Li and Pai H. Chou. Maximizing efficiency of solar-powered systems by load matching. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 162–167, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013280
[ bib | doi ]

[LCBK01] Jinfeng Liu, Pai Chou, Nader Bagherzadeh, and Fadi Kurdahi. Power-aware scheduling under timing constraints for mission-critical embedded systems. In Proceedings of the 38th Design Automation Conference (DAC'01), June 2001.
[ bib | .pdf ]

[LCCF08] Wen-Yew Liang, Shih-Chang Chen, Yang-Lang Chang, and Jyh-Perng Fang. Memory-aware dynamic voltage and frequency prediction for portable devices. In Proceedings of the Fourteenth IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'08), pages 229–236, August 2008. doi: 10.1109/RTCSA.2008.19
[ bib | doi ]

[LCS+00] Yung-Hsiang Lu, Eui-Young Chung, Tajana Simunic, Luca Benini, and Giovanni De Micheli. Quantitative comparison of power management algorithms. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'00), 2000. doi: 10.1145/343647.343688
[ bib | doi ]

[LFZE00] Alvin Lebeck, Xiaobo Fan, Heng Zeng, and Carla Ellis. Power aware page allocation. In Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'00), November 2000.
[ bib | .pdf ]

[LH01] Weiping Liao and Lei He. Power modeling and reduction of VLIW processors. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'01), September 2001.
[ bib | .pdf ]

[LHO97] B. Nayfeh L. Hammond and K. Olukotun. A single-chip multiprocessor. IEEE Computer, 30(9):79–85, September 1997. doi: 10.1109/2.612253
[ bib | doi ]

[LHW00] Haris Lekatsas, Jörg Henkel, and Wayne Wolf. Code compression for low power embedded system design. In Proceedings of the 37th Design Automation Conference (DAC'00), 2000. doi: 10.1145/337292.337423
[ bib | doi ]

[LJ03] Tao Li and Lizy Kurian John. Run-time modeling and estimation of operating system power consumption. In Proceedings of the International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'03), pages 160–171, June 2003. doi: 10.1145/781027.781048
[ bib | doi | .pdf ]

[LKHA94] Kester Li, Roger Kumpf, Paul Horton, and Thomas Anderson. A quantitative analysis of disk drive power management in portable computers. In Proceedings of the USENIX Winter 1994 Technical Conference, January 1994.
[ bib | .ps ]

[LLD+04] Xiaodong Li, Zhenmin Li, Francis M. David, Pin Zhou, Yuanyuan Zhou, Sarita V. Adve, and Sanjeev Kumar. Performance directed energy management for main memory and disks. In Proceedings of the Eleventh International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'04), October 2004. doi: 10.1145/1024393.1024425
[ bib | doi ]

[LM99] Yung-Hsiang Lu and Giovanni De Micheli. Adaptive hard disk power management on personal computers. In Proceedings of the Ninth Great Lakes Symposium on VLSI (GLSVLSI99), pages 50–53, March 1999. doi: 10.1109/GLSV.1999.757375
[ bib | doi | .ps ]

[LM01] Yung-Hsiang Lu and Giovanni De Micheli. Comparing system-level power management policies. IEEE Design & Test of Computers, pages 10–19, March/April 2001. doi: 10.1109/54.914592
[ bib | doi ]

[LM06] Jian Li and Jose F. Martinez. Dynamic power-performance adaptation of parallel computation on chip multiprocessors. In Proceedings of the Twelfth International Symposium on High-Performance Computer Architecture (HPCA'06), pages 77–87, February 2006. doi: 10.1109/HPCA.2006.1598114
[ bib | doi | .pdf ]

[Lor95] J. Lorch. A complete picture of the energy consumption of a portable computer. Master's thesis, University of California at Berkeley, 1995.
[ bib | .ps ]

[Lor01] Jacob Rubin Lorch. Operating Systems Techniques for Reducing Processor Energy Consumption. PhD thesis, Computer Science Division, University of California, Berkeley, 2001.
[ bib | .pdf ]

[LRD02] Kanishka Lahiri, Anand Raghunathan, and Sujit Dey. Communication based power management for battery efficient system design. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1145/513918.514094
[ bib | doi ]

[LRDP02] K. Lahiri, A. Raghunathan, S. Dey, and D. Panigrahi. Battery-driven system design: A new frontier in low power design. In Proceedings of the Seventh Asia and South Pacific Design Automation Conference and Fifteenth International Conference on VLSI Design (ASP-DAC'02 / VLSI Design'02), pages 261–267, January 2002. doi: 10.1109/ASPDAC.2002.994932
[ bib | doi | .pdf ]

[LRR+03] Charles Lefurgy, Karthick Rajamani, Freeman Rawson, Wes Felter, Michael Kistler, and Tom W. Keller. Energy management for commercial servers. IEEE Computer, 36(12):39–48, December 2003. doi: 10.1109/MC.2003.1250880
[ bib | doi ]

[LS98] J. Lorch and A. J. Smith. Software strategies for portable computer energy management. IEEE Personal Communications Magazine, 5(3):60–73, June 1998. doi: 10.1109/98.683740
[ bib | doi ]

[LS01] Jacob R. Lorch and Alan Jay Smith. Improving dynamic voltage scaling algorithms with PACE. In Proceedings of the International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'01), June 2001. doi: 10.1145/378420.378429
[ bib | doi ]

[LS03a] Jacob R. Lorch and Alan Jay Smith. Operating system modifications for task-based speed and voltage scheduling. In Proceedings of the First International Conference on Mobile Systems, Applications, and Services (MOBISYS'03), pages 215–229, May 2003.
[ bib ]

[LS03b] Jacob R. Lorch and Alan Jay Smith. Using user interface event information in dynamic voltage scaling algorithms. In Proceedings of the Eleventh IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems (MASCOTS'03), October 2003. doi: 10.1109/MASCOT.2003.1240641
[ bib | doi ]

[LS04] Jacob R. Lorch and Alan Jay Smith. PACE: a new approach to dynamic voltage scaling. IEEE Transactions on Computers, 53(7):856–869, July 2004. doi: 10.1109/TC.2004.35
[ bib | doi ]

[LS05] Kyeong-Jae Lee and Kevin Skadron. Using performance counters for runtime temperature sensing in high-performance processors. In Proceedings of the Nineteenth IEEE International Parallel and Distributed Processing Symposium (IPDPS'05), April 2005. doi: 10.1109/IPDPS.2005.448
[ bib | doi | .pdf ]

[LSC05] Xiaotao Liu, Prashant Shenoy, and Mark Corner. Chameleon: application level power management with performance isolation. In Proceedings of the Thirteenth Annual ACM International Conference on Multimedia, pages 839–848, November 2005. doi: 10.1145/1101149.1101332
[ bib | doi ]

[LSDM99] Y.-H. Lu, T. Simiunic, and G. De Micheli. Software controlled power management. In Proceedings of the seventh international workshop on Hardware/software codesign CODES'99, May 1999.
[ bib | .pdf ]

[LSG+00] Christopher R. Lumb, Jiri Schindler, Gregory R. Ganger, David F. Nagle, Carnegie Mellon University, and Erik Riedel. Towards higher disk head utilization: Extracting free bandwidth from busy disk drives. In Proceedings of the Fourth Symposium on Operating System Design and Implementation (OSDI'00), October 2000.
[ bib | .pdf ]

[LSR01] Suet-Fei Li, Roy Sutton, and Jan Rabaey. Low power operating system for heterogeneous wireless communication systems. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'01), September 2001.
[ bib | .pdf ]

[LY74] Jane W. S. Liu and Ai-Tsung Yang. Optimal scheduling of independent tasks on heterogeneous computing systems. In ACM 74: Proceedings of the 1974 annual conference, pages 38–45, New York, NY, USA, 1974. ACM Press. doi: 10.1145/800182.810377
[ bib | doi ]

[MAAM02] R. Melhem, N. AbouGhazaleh, H. Aydin, and D. Mosse. Power management points in power-aware real-time systems. In Robert Graybill and Rami Melhem, editors, Power Aware Computing. Kluwer Academic Publishers, 2002.
[ bib | .pdf ]

[Mar99] Thomas L. Martin. Balancing Batteries, Power and Performance: System Issues in CPU Speed-Setting for Mobile Computing. PhD thesis, Department of Electrical and Computer Engineering, Carnegie Mellon University, 1999.
[ bib | .pdf ]

[Mar00] D. Marculescu. On the use of microarchitecture-driven dynamic voltage scaling. In Proceedings of the Workshop on Complexity-Effective Design, in conjunction with International Symposium on Computer Architecture (ISCA), 2000.
[ bib | .ps ]

[Mar01] Margaret Martonosi. Power-performance modeling, analyis and validation. Tutorial at the HPCA'01, January 2001.
[ bib | .pdf ]

[May03] Robert N. Mayo. Energy consumption in mobile devices: Why future systems need requirements-aware energy scale-down. Technical Report HPL-2003-167, HP Labs, August 2003.
[ bib | .html ]

[MB06] Andreas Merkel and Frank Bellosa. Balancing power consumption in multiprocessor systems. In First ACM SIGOPS EuroSys Conference, Leuven, Belgium, April 18–21 2006. doi: 10.1145/1217935.1217974
[ bib | doi | .pdf ]

[MB08a] Andreas Merkel and Frank Bellosa. Memory-aware scheduling for energy efficiency on multicore processors. In Proceedings of the Workshop on Power Aware Computing and Systems (HotPower'08), San Diego, CA, USA, December 2008.
[ bib | .pdf ]

[MB08b] Andreas Merkel and Frank Bellosa. Task activity vectors: A new metric for temperature-aware scheduling. In Third ACM SIGOPS EuroSys Conference, Glasgow, Scotland, March 31 – April 04 2008. doi: 10.1145/1352592.1352594
[ bib | doi ]

[MBL01] Ethan L. Miller, Scott A. Brandt, and Darrell D.E. Long. HeRMES: High-performance reliable MRAM-enabled storage. In Proceedings of the Eighth Workshop on Hot Topics in Operating Systems (HotOS'01), May 2001.
[ bib | .pdf ]

[MBW05] Andreas Merkel, Frank Bellosa, and Andreas Weißel. Event-driven thermal management in SMP systems. In Proceedings of the Second Workshop on Temperature-Aware Computer Systems (TACS'05), Madison, USA, June 2005.
[ bib | .pdf ]

[MCRS05] Justin Moore, Jeff Chase, Parthasarathy Ranganathan, and Ratnesh Sharma. Making scheduling “cool”: Temperature-aware workload placement in data centers. In Proceedings of the 2005 USENIX Annual Technical Conference, June 2005.
[ bib | .html ]

[MDK94] B. Marsh, F. Douglis, and P. Krishnan. Flash memory file caching for mobile computers. In Proceedings of the 27th Hawaii Conference on System Sciences HICSS'94, 1994. doi: 10.1109/HICSS.1994.323153
[ bib | doi ]

[Mer05] Andreas Merkel. Balancing power consumption in multiprocessor systems. Diploma thesis, Universität Karlsruhe, System Architecture Group, September 2005.
[ bib | .pdf ]

[MFMB02] Steven M. Martin, Krisztian Flautner, Trevor Mudge, and David Blaauw. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'02), pages 721–725, New York, NY, USA, 2002. ACM. doi: 10.1145/774572.774678
[ bib | doi ]

[Mic01] Microsoft. Windows power management: Instant PC availability and energy savings. White Paper, March 2001.
[ bib | http ]

[Mic05] Micron Technology. Calculating DDR Memory System Power, 2005. Technical Note TN-46-03.
[ bib | .pdf ]

[Mic09] Micron Technology. Power-Saving Features of Mobile LPDRAM, 2009. Technical Note TN-46-12.
[ bib | .pdf ]

[MJ01] Jennifer Mitchell-Jackson. Energy needs in an internet economy: A closer look at data centers. Master's thesis, Energy and Resources Group, University of California at Berkeley, July 2001.
[ bib | .pdf ]

[MJKNB03] J. Mitchell-Jackson, J.G. Koomey, B. Nordmanb, and M. Blazek. Data center power requirements: measurements from silicon valley. Energy, 28(8):837–850, March 2003. doi: 10.1016/S0360-5442(03)00009-4
[ bib | doi ]

[MLH+02] Akihiko Miyoshi, Charles Lefurgy, Eric Van Hensbergen, Ram Rajamony, and Raj Rajkumar. Critical power slope: understanding the runtime effects of frequency scaling. In Proceedings of the Sixteenth Annual International Conference on Supercomputing (ICS'02), pages 35–44, June 2002. doi: 10.1145/514191.514200
[ bib | doi ]

[MMC00] Afzal Malik, Bill Moyer, and Dan Cermak. A low power unified cache architecture providing power and performance flexibility. In Proceedings of the 2000 international symposium on Low power electronics and design, pages 241–243, July 2000.
[ bib | http ]

[MMJ+05] A. Milenkovic, M. Milenkovic, E. Jovanov, D. Hite, and D. Raskovic. An environment for runtime power monitoring of wireless sensor network platforms. In Proceedings of the 37th Southeastern Symposium on System Theory, pages 406–410, March 2005.
[ bib | .pdf ]

[MMNBR07] Francisco Javier Mesa-Martinez, Joseph Nayfach-Battilana, and Jose Renau. Power model validation through thermal measurements. In Proceedings of the 34th International Symposium on Computer Architecture (ISCA'07), pages 302–311, New York, NY, USA, 2007. ACM. doi: 10.1145/1250662.1250700
[ bib | doi ]

[MMSS96] Arif Merchant, Benjamin Melamed, Eugen Schenfeld, and Bhaskar Sengupta. Analysis of a control mechanism for a variable speed processor. IEEE Transactions on Computers, 45(7), July 1996. doi: 10.1109/12.508318
[ bib | doi ]

[MP03] Sumit Mohanty and Viktor K. Prasanna. A hierarchical approach for energy efficient application design using heterogeneous embedded systems. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'03), October 2003. doi: 10.1145/951710.951743
[ bib | doi ]

[MPB+06] R. McGowen, C.A. Poirier, C. Bostak, J. Ignowski, M. Millican, W.H. Parks, and S. Naffziger. Power and temperature control on a 90-nm itanium family processor. Solid-State Circuits, IEEE Journal of, 41(1):229–237, January 2006. doi: 10.1109/JSSC.2005.859902
[ bib | doi ]

[MRKI07] Konrad Malkowski, Padma Raghavan, Mahmut Kandemir, and Mary Jane Irwin. Phase-aware adaptive hardware selection for power-efficient scientific computations. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07), pages 403–406, New York, NY, USA, 2007. ACM. doi: 10.1145/1283780.1283869
[ bib | doi ]

[MRS03] Kevin Skadron Mircea R. Stan. Guest editors' introduction: Power-aware computing. IEEE Computer, 36(12):35–38, December 2003. doi: 10.1109/MC.2003.1250876
[ bib | doi ]

[MS96] T. Martin and D. Siewiorek. A power metric for mobile systems. In Proceedings of the 1996 International Symposium on Low-Power Electronics and Design (ISLPED'96), 1996.
[ bib | .ps ]

[MS99a] T. Martin and D. Siewiorek. The impact of battery capacity and memory bandwidth on CPU speed-setting: a case study. In Proceedings of the 1999 International Symposium on Low-Power Electronics and Design (ISLPED'99), August 1999.
[ bib | .pdf ]

[MS99b] Thomas L. Martin and Daniel P. Siewiorek. Non-ideal battery properties and low power operation in wearable computing. In Proceedings of the Third IEEE International Symposium on Wearable Computers (ISWC'99), pages 101–106, October 1999. doi: 10.1109/ISWC.1999.806680
[ bib | doi ]

[MS06] Pierre Michaud and Yiannakis Sazeides. Scheduling issues on thermally-constrained processors. Technical report, Institut de Recherche en Informatique et Systemes Aleatoires, October 2006.
[ bib | .pdf ]

[MSS+03] Grigorios Magklis, Michael L. Scott, Greg Semeraro, David H. Albonesi, and Steven Dropsho. Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03), June 2003. doi: 10.1145/859618.859621
[ bib | doi ]

[MSS+04] J. Moore, R. Sharma, R. Shih, J. Chase, C. Patel, and P. Ranganathan. Going beyond CPUs: The potential of temperature-aware data center architectures. In Proceedings of the First Workshop on Temperatur-Aware Computer Systems (TACS'04), June 2004.
[ bib | .pdf ]

[Muc01] Philip Mucci. The performance API PAPI. White Paper of the University of Tennessee, March 2001.
[ bib | http ]

[Mud01] Trevor Mudge. Power: A first-class architectural design constraint. IEEE Computer, April 2001. doi: 10.1109/2.917539
[ bib | doi ]

[Mül09] Sergej Müller. Improving memory management with hardware-generated memory access profiles. Study thesis, University of Karlsruhe, Germany, June 30 2009.
[ bib | .pdf ]

[MWK04] Tomer Morad, Uri Weiser, and Avnoam Kolody. ACCMP — asymmetric cluster chip multi-processing. Technical report, CCIT, 2004.
[ bib | .pdf ]

[MWK+06] Tomer Y. Morad, Uri C. Weiser, Avinoam Kolodny, Mateo Valero, and Eduard Ayguade. Performance, power efficiency and scalability of asymmetric cluster chip multiprocessors. IEEE Comput. Archit. Lett., 5(1):14–17, 2006. doi: 10.1109/L-CA.2006.6
[ bib | doi | .pdf ]

[NB03] Juanjo Noguera and Rosa M. Badia. System-level power-performance trade-offs in task scheduling for dynamically reconfigurable architectures. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'03), October 2003. doi: 10.1145/951710.951722
[ bib | doi ]

[NCB03] K. J. Nowka, G. D. Carpenter, and B. C. Brock. The design and application of the PowerPC 405LP energy-efficient system-on-a-chip. IBM Journal of Research and Development, 47(5):632–639, 2003. doi: 10.1147/rd.475.0631
[ bib | doi ]

[NF04] Edmund B. Nightingale and Jason Flinn. Energy-efficiency and storage flexibility in the blue file system. In Proceedings of the Sixth Symposium on Operating System Design and Implementation (OSDI'04), December 2004.
[ bib | .pdf ]

[NM01] Rolf Neugebauer and Derek McAuley. Energy is just another resource: Energy accounting and energy pricing in the Nemesis OS. In Proceedings of the Eighth Workshop on Hot Topics in Operating Systems (HotOS'01), May 2001. doi: 10.1109/HOTOS.2001.990063
[ bib | doi ]

[Nob00] B. Noble. System support for mobile, adaptive applications. IEEE Personal Communications, 7(1):44–49, February 2000.
[ bib | .pdf ]

[NRM+06] Alon Naveh, Efraim Rotem, Avi Mendelson, Simcha Gochman, Rajshree Chabukswar, Karthik Krishnan, and Arun Kumar. Power and thermal management in the intel core duo processor. Intel Technology Journal, 10(2), 2006. doi: 10.1535/itj.1002.03
[ bib | doi | .pdf ]

[NSMT04] Luca Negri, Mariagiovanna Sami, David Macii, and Alessandra Terranegra. FSM-based power modeling of wireless protocols: the case of bluetooth. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 369–374, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013323
[ bib | doi ]

[NSN+97] Brian D. Noble, M. Satyanarayanan, Dushyanth Narayanan, James Eric Tilton, Jason Flinn, and Kevin R. Walker. Agile application-aware adaptation for mobility. In Proceedings of the Sixteenth Symposium on Operating System Principles (SOSP'97), pages 276–287, Saint Malo, France, 1997. doi: 10.1145/268998.266708
[ bib | doi | .pdf ]

[ONH+97] Kunle Olukotun, Basem Nayfeh, Lance Hammond, Ken Wilson, and Kunyung Chang. The case for a single-chip multiprocessor. In Proceedings of the Seventh International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'97), October 1997. doi: 10.1145/237090.237140
[ bib | doi ]

[PB98a] T. Pering and R. Broderson. Dynamic voltage scaling and the design of a low-power microprocessor system. In Proceedings of the Power Driven Microarchitecture Workshop, attached to ISCA98, June 1998.
[ bib | .html ]

[PB98b] T. Pering and R. Broderson. Energy efficient voltage scheduling for real-time operating systems. In Proceedings of the 4th IEEE Real-Time Technology and Applications Symposium RTAS'98, Work in Progress Session, June 1998.
[ bib | .html ]

[PB99] Eduardo Pinheiro and Ricardo Bianchini. Nomad: A scalable operating system for clusters of uni and multiprocessors. In Proceedings of the 1st IEEE International Workshop on Cluster Computing, December 1999.
[ bib | .ps.gz ]

[PB04] Eduardo Pinheiro and Ricardo Bianchini. Energy conservation techniques for disk array-based servers. In Proceedings of the Eighteenth Annual International Conference on Supercomputing (ICS'04), June 2004. doi: 10.1145/1006209.1006220
[ bib | doi ]

[PBB98] Trevor Pering, Tom Burd, and Robert Brodersen. The simulation and evaluation of dynamic voltage scaling algorithms. In Proceedings of the 1998 International Symposium on Low-Power Electronics and Design (ISLPED'98), pages 76–81, New York, NY, USA, June 1998. ACM Press. doi: 10.1145/280756.280790
[ bib | doi | .pdf ]

[PBB00] T. Pering, T. Burd, and R. Broderson. Voltage scheduling in the lpARM microprocessor system. In Proceedings of the 2000 International Symposium on Low-Power Electronics and Design (ISLPED'00), July 2000. doi: 10.1145/344166.344530
[ bib | doi ]

[PBBDM98] G. Paleologo, L. Benini, A. Bogliolo, and G. De Micheli. Policy optimization for dynamic power management. In Proceedings of the 35th Design Automation Conference (DAC'98), 1998.
[ bib | .pdf ]

[PBCH01] E. Pinheiro, R. Bianchini, E. V. Carrera, and T. Heath. Load balancing and unbalancing for power and performance in cluster-based systems. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'01), September 2001.
[ bib | .pdf ]

[PBCH02] E. Pinheiro, R. Bianchini, E. V. Carrera, and T. Heath. Dynamic cluster reconfiguration for power and performance. In Luca Benini, Mahmut Kandemir, and J. Ramanujam, editors, Compilers and Operating Systems for Low Power. Kluwer Academic Publishers, 2002.
[ bib | .ps.gz ]

[PBD05] Eduardo Pinheiro, Richardo Bianchini, and Cezary Dubnicki. Exploiting redundancy to conserve energy in storage systems. Technical Report DCS-TR-570, Department of Computer Science, Rutgers University, November 2005.
[ bib | .ps.gz ]

[PBD06] Eduardo Pinheiro, Ricardo Bianchini, and Cezary Dubnicki. Exploiting redundancy to conserve energy in storage systems. In Proceedings of the International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS'06), June 2006.
[ bib | .pdf ]

[PGS02] Cristiano Pereira, Rajesh Gupta, and Mani Srivastava. PASA: A software architecture for building power aware embedded systems. In Proceedings of the IEEE CAS Workshop on Wireless Communications and Networking - Power efficient wireless ad hoc networks, September 2002.
[ bib | .pdf.gz ]

[PJZB06] Vivek Pandey, Weihang Jiang, Yuanyuan Zhou, and Ricardo Bianchini. DMA-aware memory energy conservation. In Proceedings of the Twelfth International Symposium on High-Performance Computer Architecture (HPCA'06), February 2006.
[ bib | .pdf ]

[PLS00] Johan Pouwelse, Koen Langendoen, and Henk Sips. Power consumption trade-offs for wireless audio access. In Proceedings of the International Workshop on Mobile Multimedia Communications MoMuC'2000, October 2000.
[ bib | .pdf ]

[PLS01a] J. Pouwelse, K. Langendoen, and H. Sips. Energy priority scheduling for variable voltage processors. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001. doi: 10.1145/383082.383089
[ bib | doi ]

[PLS01b] Johan Pouwelse, Koen Langendoen, and Henk Sips. Dynamic voltage scaling on a low-power microprocessor. In Proceedings of the Seventh Annual International Conference on Mobile Computing and Networking (MOBICOM'01), July 2001. doi: 10.1145/381677.381701
[ bib | doi ]

[PLS03] J. Pouwelse, K. Langendoen, and H. Sips. Application-directed voltage scaling. IEEE Transactions on Very Large Scale Integration (TVLSI), 11(5):812–826, October 2003. doi: 10.1109/TVLSI.2003.814324
[ bib | doi ]

[PMSD04] Eric Piel, Philippe Marquet, Julien Soula, and Jean-Luc Dekeyser. Load-balancing for a real-time system based on asymmetric multi-processing. In Proceedings of the Sixteenth Euromicro Conference on Real-Time Systems, Catania, Italy, June 2004.
[ bib | .pdf ]

[PS01] P. Pillai and K. Shin. Real-time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of the Eighteenth Symposium on Operating System Principles (SOSP'01), October 2001.
[ bib | .pdf ]

[PS02] Athanasios E. Papathanasiou and Michael L. Scott. Increasing disk burstiness for energy efficiency. Technical Report 792, Department of Computer Science, University of Rochester, November 2002.
[ bib | .pdf ]

[PS03] Athanasios E. Papathanasiou and Michael L. Scott. Energy efficiency through burstiness. In Proceedings of the 5th IEEE Workshop on Mobile Computing Systems and Applications (WMCSA'03), October 2003.
[ bib | .pdf ]

[PS04a] Athanasios E. Papathanasiou and Michael L. Scott. Energy efficient prefetching and caching. In Proceedings of the 2004 USENIX Annual Technical Conference, pages 255–268, June 2004.
[ bib | .pdf ]

[PS04b] Christian Poellabauer and Karsten Schwan. Energy-aware traffic shaping for wireless real-time applications. In Proceedings of the Tenth Real-Time and Embedded Technology and Applications Symposium (RTAS'04), May 2004.
[ bib | .pdf ]

[PSS01] S. Park, A. Savvides, and M. B. Srivastava. Battery capacity measurement and analysis using lithium coin cell battery. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001.
[ bib | .pdf ]

[PSS05] Christian Poellabauer, Leo Singleton, and Karsten Schwan. Feedback-based dynamic frequency scaling for memory-bound real-time applications. In Proceedings of the Eleventh Real-Time and Embedded Technology and Applications Symposium (RTAS'05), March 2005.
[ bib | .pdf ]

[PZPS05] Christian Poellabauer, Tao Zhang, Santosh Pande, and Karsten Schwan. An efficient frequency scaling approach for energy-aware embedded real-time systems. In Proceedings of the International Conference on Architecture of Computing Systems (ARCS'05), March 2005.
[ bib | .pdf ]

[QRA+03] Wajahat Qadeer, Tajana Simunic Rosing, John Ankcorn, Venky Krishnan, and Giovanni De Micheli. Heterogeneous wireless network management. Technical Report HPL-2003-252, HP Labs, December 2003.
[ bib | .html ]

[RABB05] Martino Ruggiero, Andrea Acquaviva, Davide Bertozzi, and Luca Benini. Application-specific power-aware workload allocation for voltage scalable MPSoC platforms. In Proceedings of the 2005 International Conference on Computer Design (ICCD'05), October 2005. doi: 10.1109/ICCD.2005.24
[ bib | doi ]

[RB08] L. Ramos and R. Bianchini. C-Oracle: Predictive thermal management for data centers. In Proceedings of the Fourteenth International Symposium on High-Performance Computer Architecture (HPCA'08), February 2008.
[ bib | .pdf ]

[RDCS03] Raju Rangaswami, Zoran Dimitrijevic, Edward Chang, and Klaus E. Schauser. MEMS-based disk buffer for streaming media servers. In Proceedings of IEEE ICDE, March 2003.
[ bib | .pdf ]

[Reg02] John Regehr. Inferring scheduling behavior with hourglass. In Proceedings of the 2002 USENIX Annual Technical Conference, FREENIX Track, June 2002.
[ bib | http ]

[Ren02] Renesas. Hitachi SuperH RISC engine SH7750 Series Hardware Manual, October 2002.
[ bib | .pdf ]

[RFS+06] Cosmin Rusu, Alexandre Ferreira, Claudio Scordino, Aaron Watson, Rami Melhem, and Daniel Mosse. Energy-efficient real-time heterogeneous server clusters. In Proceedings of the Twelfth Real-Time and Embedded Technology and Applications Symposium (RTAS'06), April 2006.
[ bib | .pdf ]

[RG00] Dinesh Ramanathan and Rajesh Gupta. System level online power management algorithms. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'00), March 2000. doi: 10.1109/DATE.2000.840847
[ bib | doi ]

[RHAH06] Efraim Rothem, Jim Hermerding, Cohen Aviad, and Cain Harel. Temperature measurement in the intel core duo processor. In Proceedings of the Twelfth International Workshop on Thermal Investigations of ICs (THERMINIC'06), August 2006.
[ bib ]

[RL03] Karthick Rajamani and Charles Lefurgy. On evaluating request-distribution schemes for saving energy in server clusters. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS'03), March 2003.
[ bib | .pdf ]

[RMDM07] Tajana Simunic Rosing, Kresimir Mihic, and Giovanni De Micheli. Power and reliability management of SoCs. IEEE Transactions on Very Large Scale Integrated Systems, 15(4):391–403, 2007. doi: 10.1109/TVLSI.2007.895245
[ bib | doi ]

[RMNM04] Efi Rotem, Avi Mendelson, Alon Naveh, and Micha Moffie. Analysis of the enhanced intel speedstep technology of the pentium m processor. In Proceedings of the First Workshop on Temperature-Aware Computer Systems (TACS'04), June 2004.
[ bib | .pdf ]

[RP05] Peng Rong and Massoud Pedram. Hierarchical power management with application to scheduling. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), pages 269–274, New York, NY, USA, August 2005. ACM Press. doi: 10.1145/1077603.1077667
[ bib | doi ]

[RPSG05] Vijay Raghunathan, Cristiano L. Pereira, Mani B. Srivastava, and Rajesh K. Gupta. Energy aware wireless systems with adaptive power-fidelity tradeoffs. IEEE Transactions on Very Large Scale Integration (TVLSI), 13(2):211–225, February 2005. doi: 10.1109/TVLSI.2004.840773
[ bib | doi ]

[RRH00] R. Ramanathan and R. Rosales-Hain. Topology control of multihop wireless networks using transmit power adjustment. In Proceedings of the Nineteenth Annual Joint Conference of the IEEE Computer and Communications Societies (INFOCOM'00), pages 404–413, March 2000. doi: 10.1109/INFCOM.2000.832213
[ bib | doi ]

[RS99] Erven Rohou and Michael D. Smith. Dynamically managing processor temperature and power. In Proceedings of the 2nd Workshop on Feedback-Directed Optimization, November 1999.
[ bib | .ps ]

[RV03] Daler Rakhmatov and Sarma Vrudhula. Energy management for battery-powered embedded systems. ACM Transactions on Embedded Computing Systems (TECS), 2(3):277–324, 2003. doi: 10.1145/860176.860179
[ bib | doi ]

[RVC02] Daler Rakhmatov, Sarma Vrudhula, and Chaitali Chakrabarti. Battery-conscious task sequencing for portable devices including voltage/clock scaling. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1145/513918.513967
[ bib | doi ]

[RVR03] Ravishankar Rao, Sarma Vrudhula, and Daler N. Rakhmatov. Battery modeling for energy-aware system design. IEEE Computer, 36(12):77–87, December 2003. doi: 10.1109/MC.2003.1250886
[ bib | doi ]

[RVW02] Daler Rakhmatov, Sarma Vrudhula, and Deborah A. Wallach. Battery lifetime prediction for energy-aware computing. In Proceedings of the 2002 International Symposium on Low-Power Electronics and Design (ISLPED'02), August 2002. doi: 10.1145/566408.566449
[ bib | doi ]

[RW94] Chris Ruemmler and John Wilkes. An introduction to disk drive modeling. IEEE Computer, 27(3):17–28, 1994.
[ bib | .pdf ]

[RWB09] Krishna K. Rangan, Gu-Yeon Wei, and David Brooks. Thread motion: fine-grained power management for multi-core systems. In Proceedings of the 36th International Symposium on Computer Architecture (ISCA'09), pages 302–313, New York, NY, USA, June 2009. ACM. doi: 10.1145/1555754.1555793
[ bib | doi ]

[RWW98] Christian Roehl, Hagen Woesner, and Adam Wolisz. A short look on power saving mechanisms in the wireless LAN standard IEEE 802.11. In Advances in Wireless Communications, pages 219–226, April 1998.
[ bib | .pdf ]

[SA03] Jayanth Srinivasan and Sarita V. Adve. Predictive dynamic thermal management for multimedia applications. In Proceedings of the Seventeenth Annual International Conference on Supercomputing (ICS'03), June 2003. doi: 10.1145/782814.782831
[ bib | doi ]

[SAMR03] Kiran Seth, Aravindh Anantaraman, Frank Mueller, and Eric Rotenberg. Fast: Frequency-aware static timing analysis. In Proceedings of the 24th Real-Time Systems Symposium (RTSS'03), page 40, Los Alamitos, CA, USA, 2003. IEEE Computer Society. doi: 10.1109/REAL.2003.1253252
[ bib | doi ]

[SAS02] Kevin Skadron, Tarek Abdelzaher, and Mircea R. Stan. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management. In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), January 2002.
[ bib | .html ]

[SB02] T. Simunic and S. Boyd. Managing power consumption in networks on chip. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'02), 2002.
[ bib | .pdf ]

[SBA+01] Tajana Simunic, Luca Benini, Andrea Acquaviva, Peter Glynn, and Giovanni De Micheli. Dynamic voltage scaling and power management for portable systems. In Proceedings of the 38th Design Automation Conference (DAC'01), 2001.
[ bib | .pdf ]

[SBB07] Joseph Sharkey, Alper Buyuktosunoglu, and Pradip Bose. Evaluating design tradeoffs in on-chip power management for CMPs. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07), pages 44–49, New York, NY, USA, 2007. ACM. doi: 10.1145/1283780.1283791
[ bib | doi ]

[SBCR05] Jacob Sorber, Nilanjan Banerjee, Mark D. Corner, and Sami Rollins. Turducken: hierarchical power management for mobile devices. In Proceedings of the Third International Conference on Mobile Systems, Applications, and Services (MOBISYS'05), June 2005. doi: 10.1145/1067170.1067198
[ bib | doi ]

[SBDM98] T. Simunic, L. Benini, and G. De Micheli. Energy-efficient design of battery-powered embedded systems. In Proceedings of the 1998 International Symposium on Low-Power Electronics and Design (ISLPED'98), June 1998.
[ bib | .pdf ]

[SBG01] Shmuel Shaffer, William J. Beyda, and Cheryl Gold. Apparatus and method for automatic cpu speed control based on application-specific criteria. United States Patent 6,298,448, October 2001.
[ bib | .html ]

[SBM09] Karan Singh, Major Bhadauria, and Sally A. McKee. Real time power estimation and thread scheduling via performance counters. SIGARCH Comput. Archit. News, 37(2):46–55, 2009. doi: 10.1145/1577129.1577137
[ bib | doi ]

[SBP+03a] H. Shafi, P. Bohrer, J. Phelan, C. Rusu, and J. Peterson. Design and validation of a performance and power simulator for PowerPC systems. IBM Journal of Research and Development, 47(5):641–651, 2003. doi: 10.1147/rd.475.0641
[ bib | doi ]

[SBP+03b] Ratnesh K. Sharma, Cullen E. Bash, Chandrakant D. Pateland, Richard J. Friedrich, and Jeffrey S. Chase. Balance of power: Dynamic thermal management for internet data centers. Technical Report HPL-2003-5, HP Labs, February 2003.
[ bib | .html ]

[SBR04] Gregor Schiele, Christian Becker, and Kurt Rothermel. Energy-efficient cluster-based service discovery for ubiquitous computing. In Proceedings of the Eleventh ACM SIGOPS European Workshop 2004, September 2004.
[ bib | .pdf ]

[SBS02] Eugene Shih, Paramvir Bahl, and Michael J. Sinclair. Wake on wireless: An event driven energy saving strategy for battery operated devices. In Proceedings of the Eighth Annual International Conference on Mobile Computing and Networking (MOBICOM'02), September 2002.
[ bib | .pdf ]

[SC01] Amit Sinha and Anantha Chandrakasan. JouleTrack - a web based tool for software energy profiling. In Proceedings of the 38th Design Automation Conference (DAC'01), 2001. doi: 10.1145/378239.378467
[ bib | doi ]

[SC05] Farhan Simjee and Pai H. Chou. Accurate battery lifetime estimation using high-frequency power profile emulation. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), pages 307–310, New York, NY, USA, August 2005. ACM Press. doi: 10.1145/1077603.1077676
[ bib | doi ]

[SCI01] Vishnu Swaminathan, Krishnendu Chakrabarty, and S. Iyengar. Dynamic I/O power management for hard real-time systems. In Proceedings of the 9th International Workshop on Hardware/Software Codesign CODES'2001, 2001.
[ bib | .pdf ]

[SCK05] S. W. Son, G. Chen, and M. Kandemir. Power-aware code scheduling for clusters of active disks. In Proceedings of the 2005 International Symposium on Low-Power Electronics and Design (ISLPED'05), pages 293–298, New York, NY, USA, August 2005. ACM Press. doi: 10.1145/1077603.1077671
[ bib | doi ]

[SD95] Ching-Long Su and Alvin M. Despain. Cache design trade-offs for power and performance optimization: a case study. In Proceedings of the 1995 International Symposium on Low Power Design, pages 63–68, April 1995.
[ bib | http ]

[SDR02] G.Edward Suh, Srinivas Devadas, and Larry Rudolph. A new memory monitoring scheme for memory-aware scheduling and partitioning. In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), February 2002.
[ bib | .html ]

[SG99] Jiri Schindler and Gregory R. Ganger. Automated disk drive characterization. Technical Report CMU-CS-99-176, Carnegie Mellon University, School of Computer Science, December 1999.
[ bib | .pdf ]

[SG00] Ali Sheikholeslami and P. Glenn Gulak. A survey of circuit innovations in ferroelectric random-access memories. Proceedings of the IEEE, 88(3), May 2000.
[ bib | .pdf ]

[SGLG02] Jiri Schindler, John Linwood Griffin, Christopher R. Lumb, and Gregory R. Ganger. Track-aligned extents: Matching access patterns to disk drive characteristics. In Proceedings of the First Conference on File and Storage Technologies (FAST'02), 2002.
[ bib | .html ]

[SGNG00] Steven W. Schlosser, John Linwood Griffin, David F. Nagle, and Gregory R. Ganger. Designing computer systems with MEMS-based storage. In Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'00), November 2000. doi: 10.1145/378993.378996
[ bib | doi ]

[SH03] Anmol Sheth and Richard Han. Adaptive power control and selective radio activation for low-power infrastructure-mode 802.11 LANs. In Proceedings of the 23rd International Conference on Distributed Computing Systems Workshops (ICDCSW'03), May 2003. doi: 10.1109/ICDCSW.2003.1203652
[ bib | doi ]

[Sim02] T. Simunic. Dynamic management of power consumption. In Robert Graybill and Rami Melhem, editors, Power Aware Computing. Kluwer Academic Publishers, 2002.
[ bib | .pdf ]

[SK97] Mark Stemm and Randy H. Katz. Measuring and reducing energy consumption of network interfaces in hand-held devices. IEICE Transactions on Communications, E80-B(8):1125–31, 1997.
[ bib | .html ]

[SKO+97] H. Sanchez, B. Kuttanna, T. Olson, M. Alexander, G. Gerosa, R. Philip, and J. Alvarez. Thermal management system for high performance PowerPC microprocessors. In Proceedings of IEEE Compcon'97 Digest of Papers, February 1997. doi: 10.1109/CMPCON.1997.584744
[ bib | doi ]

[SKTC05] Yiannakis Sazeides, Rakesh Kumar, Dean M. Tullsen, and Theofanis Constantinou. The danger of interval-based power efficiency metrics: When worst is best. IEEE Comput. Archit. Lett., 4(1):1, 2005. doi: 10.1109/L-CA.2005.2
[ bib | doi | .pdf ]

[SLSPH09] David C. Snowdon, Etienne Le Sueur, Stefan M. Petters, and Gernot Heiser. Koala: a platform for OS-level power management. In Fourth ACM SIGOPS EuroSys Conference, pages 289–302, New York, NY, USA, 2009. ACM. doi: 10.1145/1519065.1519097
[ bib | doi ]

[SMB+02] Greg Semeraro, Grigorios Magklis, Rajeev Balasubramonian, David H. Albonesi, Sandhya Dwarkadas, and Michael L. Scott. Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling. In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), February 2002. doi: 10.1109/HPCA.2002.995696
[ bib | doi ]

[SMH01] Phillip Stanley-Marbell and Michael Hsiao. Fast, flexible, cycle-accurate energy estimation. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001. doi: 10.1145/383082.383120
[ bib | doi ]

[SMHK02] P. Stanley-Marbell, M. Hsiao, and U. Kremer. A hardware architecture for dynamic performance and energy adaption. In Proceedings of the Workshop on Power-Aware Computer Systems (PACS'02), February 2002.
[ bib | .ps ]

[SPH05] David C. Snowdon, Stefan M. Petters, and Gernot Heiser. Power measurement as the basis for power management. In Proceedings of the 2005 Workshop on Operating System Platforms for Embedded Real-Time Applications, July 2005.
[ bib | .pdf ]

[SPH07] David C. Snowdon, Stefan M. Petters, and Gernot Heiser. Accurate on-line prediction of processor and memory energy usage under voltage scaling. In Proceedings of the Seventh ACM International Conference on Embedded Software (EMSOFT'07), pages 84–93, New York, NY, USA, 2007. ACM. doi: 10.1145/1289927.1289945
[ bib | doi | .pdf ]

[SPM05] Ruresh Siddha, Venkatesh Pallipadi, and Asit Mallick. Chip multi processing aware linux kernel scheduler. In Proceedings of the 2005 Linux Symposium, 2005.
[ bib ]

[SQQ04] Hang Su, Peiliang Qiu, and Qinru Qiu. ESACW: an adaptive algorithm for transmission power reduction in wireless networks. In Proceedings of the 2004 International Symposium on Low-Power Electronics and Design (ISLPED'04), pages 82–85, New York, NY, USA, August 2004. ACM Press. doi: 10.1145/1013235.1013262
[ bib | doi ]

[SRH05] David C. Snowdon, Sergio Ruocco, and Gernot Heiser. Power management and dynamic voltage scaling: Myths and facts. In Proceedings of the 2005 Workshop on Power Aware Real-time Computing, September 2005.
[ bib | .pdf ]

[SSB+03] M.R. Stan, K. Skadron, M. Barcella, W. Huang, K. Sankaranarayanan, , and S. Velusamy. Hotspot: A dynamic compact thermal model at the processor-architecture level. Microelectronics Journal: Circuits and Systems, 34(12):1153–1165, December 2003.
[ bib | .pdf ]

[SSH+03a] Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. Temperature-aware microarchitecture. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03), June 2003. doi: 10.1145/859618.859620
[ bib | doi ]

[SSH+03b] Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. Temperature-aware microarchitecture: Extended discussion and results. Technical Report CS-2003-08, University of Virginia, Dept. of Computer Science, April 2003.
[ bib | .pdf ]

[SSS+04] Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang, Sivakumar Velusamy, and David Tarjan. Temperature-aware microarchitecture: Modeling and implementation. ACM Transactions on Architecture and Code Optimization, 1(1):94–125, March 2004. doi: 10.1145/980152.980157
[ bib | doi | .pdf ]

[ST07] Kyriakos Stavrou and Pedro Trancoso. Thermal-aware scheduling for future chip multiprocessors. EURASIP Journal on Embedded Systems, 2007(1):40, 2007. doi: 10.1155/2007/48926
[ bib | doi ]

[STC00] John S. Seng, Dean M. Tullsen, and George Z.N. Cai. Power-sensitive multithreaded architecture. Proceedings of the 2000 IEEE International Conference on Computer Design (ICCD'00), 00:199–206, September 2000. doi: 10.1109/ICCD.2000.878286
[ bib | doi ]

[Ste00] John Jeffrey Stephenson. Direct access storage device (DASD) with variable spindle rotation speed. United States Patent 6,104,566, August 2000.
[ bib | .html ]

[Sto10] Jan Stoess. System Support for Distributed Energy Management in Modular Operating Systems. PhD thesis, Karlsruhe Institute of Technology, Germany, February 2010.
[ bib ]

[SVD03] Z. Stamenkovic, F. Vater, and Z. Dyka. A framework for selection of cache configurations for low power. In Proceedings of International Workshop on IP Based System-on-Chip Design, November 2003.
[ bib | .html ]

[SvdLPH07] David C. Snowdon, Godfrey van der Linden, Stefan M. Petters, and Gernot Heiser. Accurate run-time prediction of performance degradation under frequency scaling. In 3rd Workshop on Operating System Platforms for Embedded Real-Time Applications, July 2007.
[ bib | .pdf ]

[THS10] Dimitris Tsirogiannis, Stavros Harizopoulos, and Mehul A. Shah. Analyzing the energy efficiency of a database server. In Proceedings of the International Conference on Management of Data (SIGMOD'10), pages 231–242, New York, NY, USA, 2010. ACM. doi: 10.1145/1807167.1807194
[ bib | doi ]

[TLB00] V. Tsaoussidis, A. Llahanns, and H. Badr. Wave and wait protocol (WWP): High throughput and low energy for mobile IP-devices. In Proceedings of the Eigth International Conference On Networks (ICON 2000), September 2000. doi: 10.1109/ICON.2000.875832
[ bib | doi ]

[TPDB98] T. Truman, Trevor Pering, Roger Doering, and Robert Brodersen. The InfoPad multimedia terminal: A portable device for wireless information access. IEEE Transactions on Computers, 47(10), October 1998. doi: 10.1109/12.729791
[ bib | doi ]

[Tre01] R. B. Tremaine. IBM memory expansion technology (MXT). IBM Journal of Research and Development, 45(2):271–285, 2001. doi: 10.1147/rd.452.0271
[ bib | doi ]

[TRJ02a] T. K. Tan, A. Raghunathan, and N. K. Jha. Embedded operating system energy analysis and macro-modeling. In Proceedings of the 2002 IEEE International Conference on Computer Design (ICCD'02), 2002. doi: 10.1109/ICCD.2002.1106822
[ bib | doi | .pdf ]

[TRJ02b] T. K. Tan, A. Raghunathan, and N. K. Jha. EMSIM: An energy simulation framework for an embedded operating system. In Proceedings of the IEEE International Symposium on Circuits and Systems ISCAS'02, 2002.
[ bib | .pdf ]

[TRJ03] T. K. Tan, A. Raghunathan, and N. K. Jha. Software architectural transformations: A new approach to low energy embedded software. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'03), 2003. doi: 10.1109/DATE.2003.10130
[ bib | doi | .pdf ]

[TT08] Radu Teodorescu and Josep Torrellas. Variation-aware application scheduling and power management for chip multiprocessors. In Proceedings of the 35th International Symposium on Computer Architecture (ISCA'08), pages 363–374, Washington, DC, USA, 2008. IEEE Computer Society. doi: 10.1109/ISCA.2008.40
[ bib | doi | .pdf ]

[TVK+01] S. Tomar, N. Vijayakrishnan, M. Kandemir, A. Sivasubramaniam, and M. J. Irwin. Energy behavior of java applications from the memory perspective. In Proceedings of the Java Virtual Machine Research and Technology Symposium (JVM'01), April 2001.
[ bib ]

[UNS02] Sumesh Udayakumaran, Bhagi Narahari, and Rahul Simha. Application specific memory partitioning for low power. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'02), September 2002.
[ bib | .pdf ]

[US96a] Sanjay Udani and Jonathan Smith. The power broker: Intelligent power management for mobile computers. Technical Report MS-CIS-96-12, Department of Computer Science, University of Pennsylvania, May 1996.
[ bib | .pdf ]

[US96b] Sanjay Udani and Jonathan Smith. Power management in mobile computing (a survey). Technical Report MS-CIS-98-26, Department of Computer Science, University of Pennsylvania, August 1996.
[ bib | .html ]

[UU04a] S. Uhrig and Th. Ungerer. Fine-grained power management for real-time embedded processors. In Proceedings of the 12th International Conference on Real-Time Systems RTS'04, March 2004.
[ bib | .pdf ]

[UU04b] Sascha Uhrig and Theo Ungerer. Fine-grained power management for multithreaded processor cores. In Proceedings of the ACM Symposium on Applied Computing (SAC'04), pages 907–908, March 2004. doi: 10.1145/967900.968083
[ bib | doi ]

[UU04c] Sascha Uhrig and Theo Ungerer. Fine-grained power management for real-time embedded processors. In Proceedings of RTS Embedded Systems 2004, March 2004.
[ bib | .pdf ]

[VCD+02] P. Vettiger, G. Cross, M. Despont, U. Drechsler, U. Duerig, B. Gotsmann, W. Haeberle, M. Lantz, H. Rothuizen, R. Stutz, and G. Binnig. The Millipede - nanotechnology entering data storage. IEEE Transactions on Nanotechnology, 1(1):39–55, March 2002. doi: 10.1109/TNANO.2002.1005425
[ bib | doi ]

[VF05] Vasanth Venkatachalam and Michael Franz. Power reduction techniques for microprocessor systems. ACM Computing Surveys, 37(3):195–237, September 2005. doi: 10.1145/1108956.1108957
[ bib | doi ]

[VGS+03] A. Varma, B. Ganesh, M. Sen, S. R. Choudhary, L. Srinivasan, and B. Jacob. A control-theoretic approach to dynamic voltage scaling. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'03), October 2003.
[ bib | .pdf ]

[VHL+05] S. Velusamy, W. Huang, J. Lach, M. R. Stan, and K. Skadron. Monitoring temperature in FPGA based SoCs. In Proceedings of the 2005 International Conference on Computer Design (ICCD'05), October 2005.
[ bib | .pdf ]

[VHS98] Joerg Vollrath, Markus Huebl, and Ernst Stahl. Power analysis of DRAMs. In Proceedings of the Seventh Asian Test Symposium, 1998. doi: 10.1109/ATS.1998.741635
[ bib | doi ]

[VKT06] Matthew De Vuyst, Rakesh Kumar, and Dean M. Tullsen. Exploiting unbalanced thread scheduling for energy and performance on a CMP of SMT processors. In Proceedings of the Twentieth IEEE International Parallel and Distributed Processing Symposium (IPDPS'06), page 10 pp., April 2006. doi: 10.1109/IPDPS.2006.1639374
[ bib | doi ]

[VLE00] Amin Vahdat, Alvin Lebeck, and Carla Ellis. Every joule is precious: A case for revisiting operating system design for energy efficiency. In Proceedings of the Ninth ACM SIGOPS European Workshop 2000, September 2000.
[ bib | .pdf ]

[VM03] Girish Varatkar and Radu Marculescu. Communication-aware task scheduling and voltage selection for total systems energy minimization. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'03), page 510, Washington, DC, USA, 2003. IEEE Computer Society. doi: 10.1109/ICCAD.2003.51
[ bib | doi ]

[VN03] Steven J. Vaughan-Nichols. Hard drive technology reaches a turning point. IEEE Computer, 36(12):21–23, December 2003. doi: 10.1109/MC.2003.1250860
[ bib | doi ]

[Vog04] P. Vogt. Fully buffered DIMM (FB-DIMM) server memory architecture: Capacity, performance, reliability, and longevity. In Intel Developer Forum, February 2004.
[ bib ]

[VPF06] Vasanth Venkatachalam, Christian Probst, and Michael Franz. A new way of estimating compute boundedness and its application to dynamic voltage scaling. International Journal of Embedded Systems, 1(1):64–74, 2006.
[ bib | .pdf ]

[VWWL00] Ram Viswanath, Vijay Wakharkar, Abhay Watwe, and Vassou Lebonheur. Thermal performance challenges from silicon to systems. Intel Technology Journal, 2000. Q3 issue.
[ bib | .pdf ]

[WA08] Jonathan A. Winter and David H. Albonesi. Addressing thermal nonuniformity in SMT workloads. ACM Trans. Archit. Code Optim., 5(1):1–28, 2008. doi: 10.1145/1369396.1369400
[ bib | doi ]

[Wai03] Martin Waitz. Accounting and control of power consumption in energy-aware operating systems. Diploma thesis, Department of Computer Science 4, January 2003. SA-I4-2002-14.
[ bib ]

[WB02] Andreas Weißel and Frank Bellosa. Process cruise control: Event-driven clock scaling for dynamic power management. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'02), October 2002. doi: 10.1145/581630.581668
[ bib | doi ]

[WB04] Andreas Weißel and Frank Bellosa. Dynamic thermal management in distributed systems. In Proceedings of the First Workshop on Temperatur-Aware Computer Systems (TACS'04), June 2004.
[ bib | .pdf ]

[WBB02] Andreas Weißel, Bjoern Beutel, and Frank Bellosa. Cooperative I/O: A novel I/O semantics for energy-aware applications. In Proceedings of the Fifth Symposium on Operating System Design and Implementation (OSDI'02), December 2002.
[ bib | .pdf ]

[Wei98] Boris Weissman. Performance counters and state sharing annotations: a unified approach to thread locality. In Proceedings of the Eighth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'98), October 1998. doi: 10.1145/291069.291035
[ bib | doi ]

[WFB04] Andreas Weißel, Matthias Färber, and Frank Bellosa. Application characterization for wireless network power management. In Proceedings of the International Conference on Architecture of Computing Systems (ARCS'04), pages 231–245, January 2004. doi: 10.1007/b95942
[ bib | doi ]

[WGPW96] Bruce L. Worthington, Gregory R. Ganger, Yale N. Patt, and John Wilkes. On-line extraction of SCSI disk drive parameters. Technical Report CSE-TR-323-96, University of Michigan, December 1996.
[ bib | .pdf ]

[WHH+92] Carl Waldspurger, Tad Hogg, Bernardo Huberman, Jeff Kephart, and Scott Stornetta. Spawn: A distributed computational economy. IEEE Transactions Software Engineering, 18(2), February 1992.
[ bib | .ps.gz ]

[WJY+06] Wei Wu, Lingling Jin, Jun Yang, Pu Liu, and Sheldon X.-D. Tan. A systematic method for functional unit power estimation in microprocessors. In Proceedings of the 43rd Design Automation Conference (DAC'06), pages 554–557, New York, NY, USA, 2006. ACM Press. doi: 10.1145/1146909.1147053
[ bib | doi ]

[WK06] Andreas Weißel and Simon Kellner. Energy-aware reconfiguration of sensor nodes. In Proceedings of the First GI/ITG Workshop on Non-Functional Properties of Embedded Systems(NFPES), pages 69–75, March 2006.
[ bib | .pdf ]

[WKS99] Paul R. Wilson, Scott F. Kaplan, and Yannis Smaragdakis. The case for compressed caching in virtual memory systems. In Proceedings of the USENIX 1999 Annual Technical Conference, pages 101–116, 1999.
[ bib | .pdf ]

[WWDS94] M. Weiser, B. Welch, A. Demers, and S. Shenker. Scheduling for reduced CPU energy. In Proceedings of the First Symposium on Operating System Design and Implementation (OSDI'94), November 1994.
[ bib | .ps ]

[XHE01] Ya Xu, John Heidemann, and Deborah Estrin. Geography-informed energy conservation for ad hoc routing. In Proceedings of the Seventh Annual International Conference on Mobile Computing and Networking (MOBICOM'01), pages 70–84, July 2001.
[ bib ]

[YBM02] Terry Tao Ye, Luca Benini, and Giovanni De Micheli. Analysis of power consumption on switch fabrics in network routers. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1145/513918.514051
[ bib | doi ]

[YC01] Lian-Tuu Yeh and Richard C. Chu. Thermal Management of Microelectronic Equipment. American Society of Mechanical Engineers, 2001.
[ bib ]

[YDLC10] Lei Yang, Robert P. Dick, Haris Lekatsas, and Srimat Chakradhar. High-performance operating system controlled online memory compression. ACM Transactions on Embedded Computing Systems (TECS), 9(4):1–28, 2010. doi: 10.1145/1721695.1721696
[ bib | doi ]

[YHE02] Wei Ye, John Heidemann, and Deborah Estrin. An energy-efficient MAC protocol for wireless sensor networks. In Proceedings of the 21st Annual Joint Conference of the IEEE Computer and Communications Societies (INFOCOM'02), June 2002.
[ bib ]

[YLB+06] Li Yingmin, B. Lee, D. Brooks, Hu Zhigang, and K. Skadron. Impact of thermal constraints on multi-core architectures. In Proceedings of the Tenth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronics Systems (ITHERM'06), page 8 pp. IEEE, 2006. doi: 10.1109/ITHERM.2006.1645333
[ bib | doi | .pdf ]

[YN03] Wanghong Yuan and Klara Nahrstedt. Energy-efficient soft real-time CPU scheduling for mobile multimedia systems. In Proceedings of the Nineteenth Symposium on Operating System Principles (SOSP'03), pages 149–163, New York, NY, USA, October 2003. ACM Press. doi: 10.1145/945445.945460
[ bib | doi | .pdf ]

[YSBZ05] Li Yingmin, Kevin Skadron, David Brooks, and Hu Zhigang. Performance, energy, and thermal considerations for SMT and CMP architectures. In Proceedings of the Eleventh International Symposium on High-Performance Computer Architecture (HPCA'05), pages 71–82, February 2005. doi: 10.1109/HPCA.2005.25
[ bib | doi ]

[YZJ05a] Le Yan, Lin Zhong, and Niraj K. Jha. Towards a responsive, yet power-efficient, operating system: A holistic approach. In Proceedings of the Thirteenth IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems (MASCOTS'05), September 2005. doi: 10.1109/MASCOT.2005.74
[ bib | doi ]

[YZJ05b] Le Yan, Lin Zhong, and Niraj K. Jha. User-perceived latency driven voltage scaling for interactive applications. In Proceedings of the 42nd Design Automation Conference (DAC'05), June 2005. doi: 10.1145/1065579.1065743
[ bib | doi ]

[ZBSF04] Bo Zhai, David Blaauw, Dennis Sylvester, and Krisztian Flautner. Theoretical and practical limits of dynamic voltage scaling. In Proceedings of the 41st Design Automation Conference (DAC'04), pages 868–873, New York, NY, USA, 2004. ACM. doi: 10.1145/996566.996798
[ bib | doi ]

[ZC08] Sushu Zhang and Karam S. Chatha. Automated techniques for energy efficient scheduling on homogeneous and heterogeneous chip multi-processor architectures. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'08), pages 61–66, Los Alamitos, CA, USA, 2008. IEEE Computer Society Press.
[ bib ]

[ZEL05] Heng Zeng, Carla S. Ellis, and Alvin R. Lebeck. Experiences in managing energy with ECOSystem. IEEE Pervasive Computing, 4(1):62–68, January 2005. doi: 10.1109/MPRV.2005.1
[ bib | doi ]

[ZELV03] H. Zeng, C. Ellis, A. Lebeck, and A. Vahdat. Currentcy: Unifying policies for resource management. In Proceedings of the USENIX 2003 Annual Technical Conference, June 2003.
[ bib | .pdf ]

[ZFE+02] Heng Zeng, Xiaobo Fan, Carla Ellis, Alvin Lebeck, and Amin Vahdat. ECOSystem: Managing energy as a first class operating system resource. In Proceedings of the Tenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'02), October 2002.
[ bib | .pdf ]

[ZGS+03] Fengzhou Zheng, Nitin Garg, Sumeet Sobti, Chi Zhang, Russel E. Joseph, Arvind Krishnamurty, and Randolph Y. Wang. Considering the energy consumption of mobile storage alternatives. In Proceedings of the 11th IEEE/ACM International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, October 2003.
[ bib | .pdf ]

[Zha00] Wensong Zhang. Linux virtual server for scalable network services. In Proceedings of the Ottawa Linux Symposium 2000, July 2000.
[ bib | .ps.gz ]

[ZHC02] Yumin Zhang, Xiaobo Sharon Hu, and Danny Chen. Task scheduling and voltage selection for energy minimization. In Proceedings of the 39th Design Automation Conference (DAC'02), June 2002. doi: 10.1145/513918.513966
[ bib | doi ]

[Zhu06] Dakai Zhu. Reliability-aware dynamic energy management in dependable embedded real-time systems. In Proceedings of the Twelfth Real-Time and Embedded Technology and Applications Symposium (RTAS'06), April 2006.
[ bib | .pdf ]

[ZJ03] Lin Zhong and Niraj K. Jha. Graphical user interface energy characterization for handheld computers. In Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'03), October 2003. doi: 10.1145/951710.951742
[ bib | doi ]

[ZJ05] Lin Zhong and Niraj K. Jha. Energy efficiency of handheld computer interfaces: limits, characterization and practice. In Proceedings of the Third International Conference on Mobile Systems, Applications, and Services (MOBISYS'05), June 2005. doi: 10.1145/1067170.1067197
[ bib | doi ]

[ZSG+03] John Zedlewski, Sumeet Sobti, Nitin Garg, Fengzhou Zheng, Arvind Krishnamurthy, and Randolph Wang. Modeling hard-disk power consumption. In Proceedings of the Second Conference on File and Storage Technologies (FAST'03), pages 217–230, March 2003.
[ bib | .pdf ]

  Imprint   Privacy Last modified: 2015-11-12 04:23   AW